xics: Fix -Whide warnings
authorJoel Stanley <joel@jms.id.au>
Mon, 8 Aug 2022 10:51:27 +0000 (20:21 +0930)
committerJoel Stanley <joel@jms.id.au>
Mon, 8 Aug 2022 10:58:54 +0000 (20:28 +0930)
commitc6ca844c8845eb15aaaf7862f9066cddf9cff2dd
tree2ed42d345864978af17aeea7855596a5f2a5fbbc
parent60c54fb959e9cf4ee279930c54f3dad8f464009a
xics: Fix -Whide warnings

xics.vhdl:83:25:warning: declaration of "v" hides variable "v" [-Whide]
        function  bswap(v : in std_ulogic_vector(31 downto 0)) return std_ulogic_vector is

xics.vhdl:84:22:warning: declaration of "r" hides signal "r" [-Whide]
            variable r : std_ulogic_vector(31 downto 0);

Signed-off-by: Joel Stanley <joel@jms.id.au>
xics.vhdl