vendor.xilinx_{7series,ultrascale}: add SIM_DEVICE parameter.
authorwhitequark <whitequark@whitequark.org>
Wed, 22 Jul 2020 02:13:10 +0000 (02:13 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 31 Dec 2021 14:54:48 +0000 (14:54 +0000)
commitd415f10bb606c821a210c21726e3dde9df2fcbd4
tree732756563cf0a0330e2e323e715b7740bb49a50e
parentd0e3d3ecd669f488951f1b69d0c0596517fa6f77
vendor.xilinx_{7series,ultrascale}: add SIM_DEVICE parameter.

The parameter defaults to "ULTRASCALE", even when synthesizing for
7-series devices. This could lead to a simulation/synthesis mismatch,
and causes a warning.

Fixes #438.
nmigen/vendor/xilinx_7series.py
nmigen/vendor/xilinx_ultrascale.py