add tests
authorN. Engelhardt <nak@symbioticeda.com>
Mon, 28 Sep 2020 16:12:40 +0000 (18:12 +0200)
committerN. Engelhardt <nak@symbioticeda.com>
Mon, 28 Sep 2020 16:16:08 +0000 (18:16 +0200)
commitdc4a6176945618a40960fdd79ecfa2a8ef104487
tree62fa70743a0aa635dc4cbc52a250831e2926175c
parent8f1d53e66f62ba140e4cd0d85a3ea69089825c56
add tests
tests/verilog/const_arst.ys [new file with mode: 0644]
tests/verilog/const_sr.ys [new file with mode: 0644]