Accidentally removed "simplemap $mux"
authorEddie Hung <eddie@fpgeh.com>
Thu, 27 Jun 2019 00:48:49 +0000 (17:48 -0700)
committerEddie Hung <eddie@fpgeh.com>
Thu, 27 Jun 2019 00:48:49 +0000 (17:48 -0700)
commitf0a1726a1ad4e14cdee81769565b80bea6cb48ed
tree5f2accdd55d30c418a257bd841b3abbd992783f7
parent2b104ed6c8f86a62d192b43fe3f73cc6f0b43e27
Accidentally removed "simplemap $mux"
techlibs/xilinx/synth_xilinx.cc