Finish off taking SPRs out of register file
authorPaul Mackerras <paulus@ozlabs.org>
Sat, 19 Feb 2022 08:03:49 +0000 (19:03 +1100)
committerPaul Mackerras <paulus@ozlabs.org>
Fri, 22 Jul 2022 12:20:39 +0000 (22:20 +1000)
commitfdb3ef6874fb34e67e8d6f136440378c706069e9
tree7661d2a24424f7501f211d063d5619afcc4552cb
parent337b1042501a84b3f28b11e94e650800177a63ce
Finish off taking SPRs out of register file

With this, the register file now contains 64 entries, for 32 GPRs and
32 FPRs, rather than the 128 it had previously.  Several things get
simplified - decode1 no longer has to work out the ispr{1,2,o} values,
decode_input_reg_{a,b,c} no longer have the t = SPR case, etc.

Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
common.vhdl
decode1.vhdl
decode2.vhdl
decode_types.vhdl
execute1.vhdl
loadstore1.vhdl
logical.vhdl
register_file.vhdl