Revert to using clean
authorEddie Hung <eddie@fpgeh.com>
Tue, 27 Aug 2019 16:24:32 +0000 (09:24 -0700)
committerEddie Hung <eddie@fpgeh.com>
Tue, 27 Aug 2019 16:24:32 +0000 (09:24 -0700)
tests/sat/initval.ys

index 3d88aa971dcecba1514a623a31e010ff04baa3dc..2079d2f34e13971cd336cb50372e14baace6b9e9 100644 (file)
@@ -1,4 +1,4 @@
 read_verilog -sv initval.v
-proc;
+proc;;
 
 sat -seq 10 -prove-asserts