projects
/
microwatt.git
/ commitdiff
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
| commitdiff |
tree
raw
|
patch
| inline |
side by side
(parent:
f01f3d2
)
xics: Fix warning when comparing two std_ulogic_vectors
author
Anton Blanchard
<anton@linux.ibm.com>
Tue, 15 Mar 2022 05:04:18 +0000
(16:04 +1100)
committer
Anton Blanchard
<anton@ozlabs.org>
Tue, 15 Mar 2022 05:04:18 +0000
(16:04 +1100)
Use unsigned() to make it clear what we are doing.
Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
xics.vhdl
patch
|
blob
|
history
diff --git
a/xics.vhdl
b/xics.vhdl
index 6daa5d44927ba92ab79bb113c1133744019ff670..a717215ddc8ef3f4870ab073e4f97c8b886da389 100644
(file)
--- a/
xics.vhdl
+++ b/
xics.vhdl
@@
-269,7
+269,7
@@
architecture rtl of xics_ics is
begin
masked := x"00";
masked(PRIO_BITS - 1 downto 0) := (others => '1');
- if
pri8 >= masked
then
+ if
unsigned(pri8) >= unsigned(masked)
then
return pri_masked;
else
return pri8(PRIO_BITS-1 downto 0);