projects
/
microwatt.git
/ commitdiff
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
| commitdiff |
tree
raw
|
patch
| inline |
side by side
(parent:
bbb1a36
)
Fix register file size (there are 32 gprs).
author
Tristan Gingold
<tgingold@free.fr>
Sat, 12 Oct 2019 04:56:32 +0000
(06:56 +0200)
committer
Tristan Gingold
<tgingold@free.fr>
Sat, 12 Oct 2019 04:56:32 +0000
(06:56 +0200)
register_file.vhdl
patch
|
blob
|
history
diff --git
a/register_file.vhdl
b/register_file.vhdl
index a251a9fd5622c5dedd5768d783448712426479fe..669093b748c258f94dc9f7e2bf623edf6112a531 100644
(file)
--- a/
register_file.vhdl
+++ b/
register_file.vhdl
@@
-23,7
+23,7
@@
entity register_file is
end entity register_file;
architecture behaviour of register_file is
- type regfile is array(0 to 3
2
) of std_ulogic_vector(63 downto 0);
+ type regfile is array(0 to 3
1
) of std_ulogic_vector(63 downto 0);
signal registers : regfile := (others => (others => '0'));
begin
-- synchronous writes