Merge remote-tracking branch 'origin/master' into xc7mux
authorEddie Hung <eddie@fpgeh.com>
Mon, 1 Jul 2019 16:45:22 +0000 (09:45 -0700)
committerEddie Hung <eddie@fpgeh.com>
Mon, 1 Jul 2019 16:45:22 +0000 (09:45 -0700)
1  2 
CHANGELOG

diff --cc CHANGELOG
index e380c6d5278099daef84677358c7de337daf62fd,5535ce4187f04b2b44785eb92b6269329e97b62c..7ac418160201c2130a9139367be8cff2f47962c5
+++ b/CHANGELOG
@@@ -2,13 -2,18 +2,24 @@@
  List of major changes and improvements between releases
  =======================================================
  
 +Yosys 0.9 .. Yosys 0.9-dev
 +--------------------------
 +
 + * Various
 +    - Added "script -select"
 +
  
+ Yosys 0.9 .. Yosys 0.9-dev
+ --------------------------
+  * Various
+     - Added "write_xaiger" backend
+     - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
+     - Added "synth_xilinx -abc9" (experimental)
+     - Added "synth_ice40 -abc9" (experimental)
+     - Added "synth -abc9" (experimental)
  Yosys 0.8 .. Yosys 0.8-dev
  --------------------------
  
      - Added "synth_xilinx -nocarry"
      - Added "synth_xilinx -nowidelut"
      - Added "synth_ecp5 -nowidelut"
-     - Added "write_xaiger" backend
-     - Added "abc9" pass for timing-aware techmapping (experimental, FPGA only, no FFs)
-     - Added "synth_xilinx -abc9" (experimental)
-     - Added "synth_ice40 -abc9" (experimental)
-     - Added "synth -abc9" (experimental)
      - "synth_xilinx" to now infer hard shift registers (-nosrl to disable)
 +    - "synth_xilinx" to now infer wide multiplexers (-widemux <min> to enable)
      - Fixed sign extension of unsized constants with 'bx and 'bz MSB