vendor.xilinx_{spartan6,7series}: speedgrade→speed.
authorwhitequark <cz@m-labs.hk>
Tue, 25 Jun 2019 15:51:52 +0000 (15:51 +0000)
committerwhitequark <cz@m-labs.hk>
Tue, 25 Jun 2019 15:51:52 +0000 (15:51 +0000)
For consistency with ECP5.

nmigen/vendor/xilinx_7series.py
nmigen/vendor/xilinx_spartan6.py

index a9836d9041610d172204a6e9f488841ea47288a7..b711be0c1eb850cfd29229505cb774126ee63049 100644 (file)
@@ -42,9 +42,9 @@ class Xilinx7SeriesPlatform(TemplatedPlatform):
         * ``{{name}}.bit``: binary bitstream.
     """
 
-    device = abstractproperty()
+    device  = abstractproperty()
     package = abstractproperty()
-    speedgrade = abstractproperty()
+    speed   = abstractproperty()
 
     file_templates = {
         **TemplatedPlatform.build_script_templates,
@@ -54,7 +54,7 @@ class Xilinx7SeriesPlatform(TemplatedPlatform):
         """,
         "{{name}}.tcl": r"""
             # {{autogenerated}}
-            create_project -force -name {{name}} -part {{platform.device}}{{platform.package}}-{{platform.speedgrade}}
+            create_project -force -name {{name}} -part {{platform.device}}{{platform.package}}-{{platform.speed}}
             {% for file in platform.extra_files %}
                 {% if file.endswith((".v", ".sv")) -%}
                     add_files {{file}}
@@ -63,7 +63,7 @@ class Xilinx7SeriesPlatform(TemplatedPlatform):
             add_files {{name}}.v
             read_xdc {{name}}.xdc
             {{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
-            synth_design -top {{name}} -part {{platform.device}}{{platform.package}}-{{platform.speedgrade}}
+            synth_design -top {{name}} -part {{platform.device}}{{platform.package}}-{{platform.speed}}
             {{get_override("script_after_synth")|default("# (script_after_synth placeholder)")}}
             report_timing_summary -file {{name}}_timing_synth.rpt
             report_utilization -hierarchical -file {{name}}_utilization_hierachical_synth.rpt
index 8158488cc4fa09ddcf9849e52f494f323a230917..fef946189d233fb9052f9431df707a626d8ef24d 100644 (file)
@@ -45,9 +45,9 @@ class XilinxSpartan6Platform(TemplatedPlatform):
         * ``{{name}}.bit``: binary bitstream.
     """
 
-    device     = abstractproperty()
-    package    = abstractproperty()
-    speedgrade = abstractproperty()
+    device  = abstractproperty()
+    package = abstractproperty()
+    speed   = abstractproperty()
 
     file_templates = {
         **TemplatedPlatform.build_script_templates,
@@ -70,7 +70,7 @@ class XilinxSpartan6Platform(TemplatedPlatform):
             -ifn {{name}}.prj
             -ofn {{name}}.ngc
             -top {{name}}
-            -p {{platform.device}}{{platform.package}}-{{platform.speedgrade}}
+            -p {{platform.device}}{{platform.package}}-{{platform.speed}}
             {{get_override("script_after_run")|default("# (script_after_run placeholder)")}}
         """,
         "{{name}}.ucf": r"""