Added GP_PGA cell
authorAndrew Zonenberg <azonenberg@drawersteak.com>
Thu, 28 Apr 2016 06:07:21 +0000 (23:07 -0700)
committerAndrew Zonenberg <azonenberg@drawersteak.com>
Thu, 28 Apr 2016 06:07:21 +0000 (23:07 -0700)
techlibs/greenpak4/cells_sim.v

index 1152ffe6375840a6926e4455cbdaa75eddb3b705..b7dbe81a272c6c73dc30cd7330d1d9edbb3f2f12 100644 (file)
@@ -153,6 +153,17 @@ module GP_LFOSC(input PWRDN, output reg CLKOUT);
        
 endmodule
 
+module GP_PGA(input wire VIN_P, input wire VIN_N, input wire VIN_SEL, output reg VOUT);
+
+       parameter GAIN = 1;
+       parameter INPUT_MODE = "SINGLE";
+
+       initial VOUT = 0;
+
+       //cannot simulate mixed signal IP
+
+endmodule
+
 module GP_POR(output reg RST_DONE);
        parameter POR_TIME = 500;