Fix macc test
authorSergeyDegtyar <sndegtyar@gmail.com>
Fri, 30 Aug 2019 12:22:46 +0000 (15:22 +0300)
committerSergeyDegtyar <sndegtyar@gmail.com>
Fri, 30 Aug 2019 12:22:46 +0000 (15:22 +0300)
tests/ice40/macc.ys

index 233e7e89074bc31a6f761160e2d35138c71b0e45..d65c31b73ec9fd09fc1f00df2f83ee9526d534f1 100644 (file)
@@ -4,7 +4,7 @@ hierarchy -top top
 equiv_opt -assert -map +/ice40/cells_sim.v synth_ice40 -dsp # equivalency check
 design -load postopt # load the post-opt design (otherwise equiv_opt loads the pre-opt design)
 cd top # Constrain all select calls below inside the top module
-select -assert-count 41 t:SB_LUT4
+select -assert-count 38 t:SB_LUT4
 select -assert-count 6 t:SB_CARRY
 select -assert-count 7 t:SB_DFFSR
 select -assert-none t:SB_LUT4 t:SB_CARRY t:SB_DFFSR %% t:* %D