control: Fix build issue with Fedora 31 version of GHDL
authorAnton Blanchard <anton@linux.ibm.com>
Wed, 11 Dec 2019 01:02:06 +0000 (12:02 +1100)
committerAnton Blanchard <anton@ozlabs.org>
Wed, 11 Dec 2019 01:02:06 +0000 (12:02 +1100)
I'm hitting an issue with the Fedora 31 version of GHDL that
appears to be fixed upstream:

control.vhdl:105:39:error: actual expression must be globally static

Add a signal to get rid of error.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
control.vhdl

index fed56185aa0b6e3ee0d8dec61879f2bc3ae0391f..ead3c1ffe20ab79a2861680e73a33b65cd9518b3 100644 (file)
@@ -58,6 +58,8 @@ architecture rtl of control is
 
     signal gpr_write_valid : std_ulogic := '0';
     signal cr_write_valid  : std_ulogic := '0';
+
+    signal gpr_c_read_in_fmt : std_ulogic_vector(5 downto 0);
 begin
     gpr_hazard0: entity work.gpr_hazard
         generic map (
@@ -91,6 +93,8 @@ begin
             stall_out          => stall_b_out
             );
 
+    gpr_c_read_in_fmt <= "0" & gpr_c_read_in;
+
     gpr_hazard2: entity work.gpr_hazard
         generic map (
             PIPELINE_DEPTH => PIPELINE_DEPTH
@@ -102,7 +106,7 @@ begin
             gpr_write_valid_in => gpr_write_valid,
             gpr_write_in       => gpr_write_in,
             gpr_read_valid_in  => gpr_c_read_valid_in,
-            gpr_read_in        => "0" & gpr_c_read_in,
+            gpr_read_in        => gpr_c_read_in_fmt,
 
             stall_out          => stall_c_out
             );