code-comments on uart in microwatt_verilator
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 21 Jan 2022 13:28:48 +0000 (13:28 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 21 Jan 2022 13:28:48 +0000 (13:28 +0000)
verilator/microwatt-verilator.cpp

index dea7c073bac8044304ea92bc1fad0851852ebf31..0dbbf29a0d5cf413b75bc833277d97917f1ae826 100644 (file)
@@ -49,9 +49,14 @@ void tick(Vmicrowatt *top, bool dump)
        main_time++;
 }
 
+// simulated uart tx/rx, assumes a baud rate of 115200 based on CLK_FREQUENCY
 void uart_tx(unsigned char tx);
 unsigned char uart_rx(void);
 
+// true if the uart tx and rx are both idle
+// (saves having to save/restore/sync the uart state)
+bool uart_idle(void);
+
 // pretty-print dumped data in ASCII (to help identify strings)
 static void ascii_dump(unsigned char *data, int len, FILE *dump)
 {