Add support for SVA labels in read_verilog
authorClifford Wolf <clifford@clifford.at>
Thu, 7 Mar 2019 19:17:32 +0000 (11:17 -0800)
committerClifford Wolf <clifford@clifford.at>
Thu, 7 Mar 2019 19:17:32 +0000 (11:17 -0800)
Signed-off-by: Clifford Wolf <clifford@clifford.at>

No differences found