script added
authorAhmed Irfan <irfan@ubuntu.(none)>
Sat, 18 Jan 2014 20:54:52 +0000 (21:54 +0100)
committerAhmed Irfan <irfan@ubuntu.(none)>
Sat, 18 Jan 2014 20:54:52 +0000 (21:54 +0100)
btor.ys
verilog2btor.sh [new file with mode: 0755]

diff --git a/btor.ys b/btor.ys
index 65accc95c80b2e24dae838b1be184e2ab46f92f9..7f3882b571f820de62578d346745509a458e4fb5 100644 (file)
--- a/btor.ys
+++ b/btor.ys
@@ -1,10 +1,3 @@
-#design should be loaded before executing 
-#set the: hierarchy -top <module_top>
-#set the: hierarchy -libdir <dir>
-
-#high level synthesis
-#################
-#converting processes to cells
 proc; 
 opt; opt_const -mux_undef; opt;
 rename -hide;;;
@@ -17,6 +10,6 @@ flatten;;
 memory_unpack; 
 #cell output to be a single wire
 splitnets -driver;
+setundef -zero -undriven;
 opt;;;
-#writing btor
-write_btor design.btor;
+
diff --git a/verilog2btor.sh b/verilog2btor.sh
new file mode 100755 (executable)
index 0000000..e01d150
--- /dev/null
@@ -0,0 +1,26 @@
+#!/bin/sh
+
+#
+# Script to writing btor from verilog design
+#
+
+if [ "$#" -ne 3 ]; then
+  echo "Usage: $0 input.v output.btor top-module-name" >&2
+  exit 1
+fi
+if ! [ -e "$1" ]; then
+  echo "$1 not found" >&2
+  exit 1
+fi
+
+FULL_PATH=$(readlink -f $1)
+DIR=$(dirname $FULL_PATH)
+
+./yosys -p "
+read_verilog $1; 
+hierarchy -top $3; 
+hierarchy -libdir $DIR; 
+hierarchy -check; 
+script btor.ys; 
+write_btor $2;"
+