Minor README changes
authorClifford Wolf <clifford@clifford.at>
Sat, 31 Jan 2015 23:57:12 +0000 (00:57 +0100)
committerClifford Wolf <clifford@clifford.at>
Sat, 31 Jan 2015 23:57:12 +0000 (00:57 +0100)
README

diff --git a/README b/README
index b7605eb59fd740b639086fe1741be1f0b5ca1f50..476e5ce5411fe8feb9286c885416b8f80b8480dc 100644 (file)
--- a/README
+++ b/README
@@ -295,7 +295,7 @@ Verilog Attributes and non-standard features
   by adding an empty {* *} statement.)
 
 - Modules can be declared with "module mod_name(...);" (with three dots
-  instead of a list of moudle ports). With this syntax it is sufficient
+  instead of a list of module ports). With this syntax it is sufficient
   to simply declare a module port as 'input' or 'output' in the module
   body.
 
@@ -360,8 +360,7 @@ from SystemVerilog:
 
 - The "assert" statement from SystemVerilog is supported in its most basic
   form. In module context: "assert property (<expression>);" and within an
-  always block: "assert(<expression>);". It is transformed to a $assert cell
-  that is supported by the "sat" and "write_btor" commands.
+  always block: "assert(<expression>);". It is transformed to a $assert cell.
 
 - The keywords "always_comb", "always_ff" and "always_latch", "logic" and
   "bit" are supported.