gen/fhdl/verilog: add do in reserved_keywords
authorFlorent Kermarrec <florent@enjoy-digital.fr>
Tue, 26 Apr 2016 15:13:41 +0000 (17:13 +0200)
committerFlorent Kermarrec <florent@enjoy-digital.fr>
Wed, 27 Apr 2016 15:43:25 +0000 (17:43 +0200)
litex/gen/fhdl/verilog.py

index b0906182facea167e05ee4d6aebbcc0edcc7d4d4..78a0aa88b648cc2315dde249721e5bb5137cac47 100644 (file)
@@ -27,7 +27,7 @@ _reserved_keywords = {
     "specify", "specparam", "strong0", "strong1", "supply0", "supply1",
     "table", "task", "time", "tran", "tranif0", "tranif1", "tri", "tri0",
     "tri1", "triand", "trior", "trireg", "unsigned", "use", "vectored", "wait",
-    "wand", "weak0", "weak1", "while", "wire", "wor","xnor", "xor"
+    "wand", "weak0", "weak1", "while", "wire", "wor","xnor", "xor", "do"
 }