projects
/
microwatt.git
/ commitdiff
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
| commitdiff |
tree
raw
|
patch
| inline |
side by side
(parent:
5a03de4
)
Metavalue cleanup for rotator.vhdl
author
Michael Neuling
<mikey@neuling.org>
Thu, 14 Jul 2022 00:32:37 +0000
(10:32 +1000)
committer
Michael Neuling
<mikey@neuling.org>
Thu, 28 Jul 2022 00:16:14 +0000
(10:16 +1000)
Signed-off-by: Michael Neuling <mikey@neuling.org>
rotator.vhdl
patch
|
blob
|
history
diff --git
a/rotator.vhdl
b/rotator.vhdl
index 45913c94df5ab7968b2c5d6b92aeaa8d9e62365f..1049e20c4645464c16d222d15c74e7bd9f260849 100644
(file)
--- a/
rotator.vhdl
+++ b/
rotator.vhdl
@@
-34,6
+34,10
@@
architecture behaviour of rotator is
variable ret: std_ulogic_vector(63 downto 0);
begin
ret := (others => '0');
+ if is_X(mask_begin) then
+ ret := (others => 'X');
+ return ret;
+ end if;
for i in 0 to 63 loop
if i >= to_integer(unsigned(mask_begin)) then
ret(63 - i) := '1';