_soc_files = wishbone_arbiter.vhdl wishbone_bram_wrapper.vhdl sync_fifo.vhdl \
wishbone_debug_master.vhdl xics.vhdl syscon.vhdl soc.vhdl \
- spi_rxtx.vhdl spi_flash_ctrl.vhdl
+ spi_rxtx.vhdl spi_flash_ctrl.vhdl plru_dummy.vhdl
#--
else
#incomplete: does not build yet
util_files = decode_types.vhdl common.vhdl wishbone_types.vhdl utils.vhdl \
- core_dummy.vhdl helpers.vhdl gpio.vhdl cache_ram.vhdl plru.vhdl
+ core_dummy.vhdl helpers.vhdl gpio.vhdl cache_ram.vhdl
fpga_files = $(_fpga_files) $(_soc_files)
synth_files = $(util_files) $(soc_extra_synth) $(fpga_files) $(clkgen) $(toplevel) $(dmi_dtm)
soc_extra_v = external_core_top.v
--- /dev/null
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use ieee.math_real.all;
+
+entity plru is
+ generic (
+ BITS : positive := 2
+ )
+ ;
+ port (
+ clk : in std_ulogic;
+ rst : in std_ulogic;
+
+ acc : in std_ulogic_vector(BITS-1 downto 0);
+ acc_en : in std_ulogic;
+ lru : out std_ulogic_vector(BITS-1 downto 0)
+ );
+end entity plru;
+
+architecture rtl of plru is
+
+begin
+
+end;
+
+