try using plru_dummy.vhdl
authorTobias Platen <tplaten@posteo.de>
Thu, 24 Mar 2022 15:47:11 +0000 (16:47 +0100)
committerTobias Platen <tplaten@posteo.de>
Thu, 24 Mar 2022 15:47:11 +0000 (16:47 +0100)
Makefile
plru_dummy.vhdl [new file with mode: 0644]

index 77c453f38c4ddca5824036339ff0c1b5ff27fe4c..73057f259e7e5e554b37a63e2980e2a5478447df 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -234,7 +234,7 @@ _fpga_files = fpga/soc_reset.vhdl \
        
 _soc_files = wishbone_arbiter.vhdl wishbone_bram_wrapper.vhdl sync_fifo.vhdl \
        wishbone_debug_master.vhdl xics.vhdl syscon.vhdl soc.vhdl \
-       spi_rxtx.vhdl spi_flash_ctrl.vhdl
+       spi_rxtx.vhdl spi_flash_ctrl.vhdl plru_dummy.vhdl
 
 
 #--
@@ -250,7 +250,7 @@ ifeq ($(EXTERNAL_CORE),false)
 else
 #incomplete: does not build yet
     util_files = decode_types.vhdl common.vhdl wishbone_types.vhdl utils.vhdl \
-                core_dummy.vhdl helpers.vhdl gpio.vhdl cache_ram.vhdl plru.vhdl
+                core_dummy.vhdl helpers.vhdl gpio.vhdl cache_ram.vhdl 
     fpga_files = $(_fpga_files) $(_soc_files)
     synth_files = $(util_files) $(soc_extra_synth) $(fpga_files) $(clkgen) $(toplevel) $(dmi_dtm)
     soc_extra_v = external_core_top.v
diff --git a/plru_dummy.vhdl b/plru_dummy.vhdl
new file mode 100644 (file)
index 0000000..cdd989e
--- /dev/null
@@ -0,0 +1,27 @@
+library ieee;
+use ieee.std_logic_1164.all;
+use ieee.numeric_std.all;
+use ieee.math_real.all;
+
+entity plru is
+    generic (
+       BITS : positive := 2
+       )
+       ;
+    port (
+       clk    : in std_ulogic;
+       rst    : in std_ulogic;
+
+       acc    : in std_ulogic_vector(BITS-1 downto 0);
+       acc_en : in std_ulogic;
+       lru    : out std_ulogic_vector(BITS-1 downto 0)
+       );
+end entity plru;
+
+architecture rtl of plru is
+    
+begin
+
+end;
+
+