vendor: fix typo `async_ff_sync`
authorNicolas Robin <13021796+nicolas-robin@users.noreply.github.com>
Sun, 15 Mar 2020 09:33:22 +0000 (10:33 +0100)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 31 Dec 2021 13:19:10 +0000 (13:19 +0000)
nmigen/vendor/xilinx_7series.py
nmigen/vendor/xilinx_spartan_3_6.py
nmigen/vendor/xilinx_ultrascale.py

index 25bfa2853b134db5b8e2f85e13b14c02e5f87ec8..128035d203e17a88cc09e7faf417fd7629c4e6f7 100644 (file)
@@ -421,12 +421,12 @@ class Xilinx7SeriesPlatform(TemplatedPlatform):
             m.d.async_ff += o.eq(i)
 
         if async_ff_sync._edge == "pos":
-            m.d.comb += ResetSignal("async_ff").eq(asnyc_ff_sync.i)
+            m.d.comb += ResetSignal("async_ff").eq(async_ff_sync.i)
         else:
-            m.d.comb += ResetSignal("async_ff").eq(~asnyc_ff_sync.i)
+            m.d.comb += ResetSignal("async_ff").eq(~async_ff_sync.i)
 
         m.d.comb += [
-            ClockSignal("async_ff").eq(ClockSignal(asnyc_ff_sync._domain)),
+            ClockSignal("async_ff").eq(ClockSignal(async_ff_sync._domain)),
             async_ff_sync.o.eq(flops[-1])
         ]
 
index c7d37d123ca73d023ba0f2b5917db1f0b9de3599..a4b4d999db97e617278971a97db5c2d7e262a402 100644 (file)
@@ -452,12 +452,12 @@ class XilinxSpartan3Or6Platform(TemplatedPlatform):
             m.d.async_ff += o.eq(i)
 
         if async_ff_sync._edge == "pos":
-            m.d.comb += ResetSignal("async_ff").eq(asnyc_ff_sync.i)
+            m.d.comb += ResetSignal("async_ff").eq(async_ff_sync.i)
         else:
-            m.d.comb += ResetSignal("async_ff").eq(~asnyc_ff_sync.i)
+            m.d.comb += ResetSignal("async_ff").eq(~async_ff_sync.i)
 
         m.d.comb += [
-            ClockSignal("async_ff").eq(ClockSignal(asnyc_ff_sync._domain)),
+            ClockSignal("async_ff").eq(ClockSignal(async_ff_sync._domain)),
             async_ff_sync.o.eq(flops[-1])
         ]
 
index 6598f0a2f6e44b40a91bba50a41c13336ef76432..113753c313713ccb0a6c1b9edfc4b70960bf7055 100644 (file)
@@ -417,12 +417,12 @@ class XilinxUltraScalePlatform(TemplatedPlatform):
             m.d.async_ff += o.eq(i)
 
         if async_ff_sync._edge == "pos":
-            m.d.comb += ResetSignal("async_ff").eq(asnyc_ff_sync.i)
+            m.d.comb += ResetSignal("async_ff").eq(async_ff_sync.i)
         else:
-            m.d.comb += ResetSignal("async_ff").eq(~asnyc_ff_sync.i)
+            m.d.comb += ResetSignal("async_ff").eq(~async_ff_sync.i)
 
         m.d.comb += [
-            ClockSignal("async_ff").eq(ClockSignal(asnyc_ff_sync._domain)),
+            ClockSignal("async_ff").eq(ClockSignal(async_ff_sync._domain)),
             async_ff_sync.o.eq(flops[-1])
         ]