Added support for building for Arty A7 boards
authorriktw <rik@justanotherelectronicsblog.com>
Tue, 27 Aug 2019 20:20:02 +0000 (22:20 +0200)
committerriktw <rik@justanotherelectronicsblog.com>
Thu, 29 Aug 2019 20:37:48 +0000 (22:37 +0200)
fpga/arty_a7-35.xdc [new file with mode: 0644]
microwatt.core

diff --git a/fpga/arty_a7-35.xdc b/fpga/arty_a7-35.xdc
new file mode 100644 (file)
index 0000000..0e62736
--- /dev/null
@@ -0,0 +1,7 @@
+set_property -dict { PACKAGE_PIN E3    IOSTANDARD LVCMOS33 } [get_ports { clk }];
+create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports { clk }];
+
+set_property -dict { PACKAGE_PIN A8    IOSTANDARD LVCMOS33 } [get_ports { reset_n }]; #mapped to SW0
+
+set_property -dict { PACKAGE_PIN D10   IOSTANDARD LVCMOS33 } [get_ports { uart0_txd }]; 
+set_property -dict { PACKAGE_PIN A9    IOSTANDARD LVCMOS33 } [get_ports { uart0_rxd }];
index 7263794f6da2629aac0640dbbec17e5ef35620b8..f365b913859530958e66fc4335abe033a02d6acc 100644 (file)
@@ -48,6 +48,12 @@ filesets:
     files:
       - fpga/nexys-video.xdc : {file_type : xdc}
       - fpga/clk_gen_plle2.vhd : {file_type : vhdlSource-2008}
+      
+  arty_a7-35:
+    files:
+      - fpga/arty_a7-35.xdc : {file_type : xdc}
+      - fpga/clk_gen_plle2.vhd : {file_type : vhdlSource-2008}
+  
 
 targets:
   nexys_a7:
@@ -65,6 +71,14 @@ targets:
     tools:
       vivado: {part : xc7a200tsbg484-1}
     toplevel : toplevel
+    
+  arty_a7-35:
+    default_tool: vivado
+    filesets: [core, arty_a7-35, soc]
+    parameters : [memory_size, ram_init_file]
+    tools:
+      vivado: {part : xc7a35ticsg324-1L}
+    toplevel : toplevel
 
   synth:
     filesets: [core]