xilinx_vivado: add hierarchical utilization report
authorFlorent Kermarrec <florent@enjoy-digital.fr>
Fri, 31 Oct 2014 11:47:21 +0000 (12:47 +0100)
committerSebastien Bourdeauducq <sb@m-labs.hk>
Sat, 1 Nov 2014 12:57:54 +0000 (20:57 +0800)
mibuild/xilinx_vivado.py

index df3438cfb4643619507972f26ff0584d3d2f6399..9f6456c93d0f1e20f1352817d51d75e29968c6d4 100644 (file)
@@ -48,8 +48,10 @@ def _build_files(device, sources, vincpaths, build_name, bitstream_compression):
 
        tcl.append("read_xdc %s.xdc" %build_name)
        tcl.append("synth_design -top top -part %s -include_dirs {%s}" %(device, " ".join(vincpaths)))
+       tcl.append("report_utilization -hierarchical -file %s_utilization_hierarchical_synth.rpt" %(build_name))
        tcl.append("report_utilization -file %s_utilization_synth.rpt" %(build_name))
        tcl.append("place_design")
+       tcl.append("report_utilization -hierarchical -file %s_utilization_hierarchical_place.rpt" %(build_name))
        tcl.append("report_utilization -file %s_utilization_place.rpt" %(build_name))
        tcl.append("report_io -file %s_io.rpt" %(build_name))
        tcl.append("report_control_sets -verbose -file %s_control_sets.rpt" %(build_name))