Uncomment sat command in memory.ys test.
authorSergeyDegtyar <sndegtyar@gmail.com>
Tue, 3 Sep 2019 09:11:12 +0000 (12:11 +0300)
committerSergeyDegtyar <sndegtyar@gmail.com>
Tue, 3 Sep 2019 09:11:12 +0000 (12:11 +0300)
tests/ecp5/memory.ys

index c90f1991eaafebc44e2adf9f2d9cdf9753e10b52..9cc6bb5be9f72cb07c66ac493cf712ba7938d5e6 100644 (file)
@@ -7,8 +7,7 @@ memory
 opt -full
 
 miter -equiv -flatten -make_assert -make_outputs gold gate miter
-#ERROR: Called with -verify and proof did fail!
-#sat -verify -prove-asserts -seq 5 -set-init-zero -show-inputs -show-outputs miter
+sat -verify -prove-asserts -seq 5 -set-init-zero -show-inputs -show-outputs miter
 
 design -load postopt
 cd top