test: add another testcase as per @nakengelhardt
authorEddie Hung <eddie@fpgeh.com>
Thu, 14 May 2020 15:36:36 +0000 (08:36 -0700)
committerEddie Hung <eddie@fpgeh.com>
Thu, 14 May 2020 15:36:36 +0000 (08:36 -0700)
tests/verilog/bug2042-sv.ys

index 9a0d419c87c4e93042117ee9cf7ac97ebec8a682..e815d7fc57cd8509c32c2c7f4cd2bb50fdef6ef6 100644 (file)
@@ -20,6 +20,31 @@ proc
 sat -verify -prove-asserts
 
 
+design -reset
+read_verilog -sv <<EOT
+module Task_Test_Top
+(
+input a,
+output b, c
+);
+
+    task SomeTaskName(x, output y, z);
+       y = ~x;
+       z = x;
+    endtask
+
+    always @*
+        SomeTaskName(a, b, c);
+
+    assert property (b == ~a);
+    assert property (c == a);
+
+endmodule
+EOT
+proc
+sat -verify -prove-asserts
+
+
 design -reset
 logger -expect error "syntax error, unexpected TOK_ENDTASK, expecting ';'" 1
 read_verilog -sv <<EOT