fhdl: fix value_bv for operators
authorSebastien Bourdeauducq <sebastien@milkymist.org>
Fri, 13 Jul 2012 15:40:49 +0000 (17:40 +0200)
committerSebastien Bourdeauducq <sebastien@milkymist.org>
Fri, 13 Jul 2012 15:40:49 +0000 (17:40 +0200)
migen/fhdl/tools.py

index d27f23bb06184ea83b2c727c43f4f5e277a84a11..a753d85e68d1630d5bce5ac768c715f109cd970b 100644 (file)
@@ -132,7 +132,7 @@ def value_bv(v):
        elif isinstance(v, Signal):
                return v.bv
        elif isinstance(v, _Operator):
-               obv = map(value_bv, v.operands)
+               obv = list(map(value_bv, v.operands))
                if v.op == "+" or v.op == "-":
                        return BV(max(obv[0].width, obv[1].width) + 1,
                                obv[0].signed and obv[1].signed)