tests: fix some test warnings
authorEddie Hung <eddie@fpgeh.com>
Mon, 25 May 2020 17:07:58 +0000 (10:07 -0700)
committerEddie Hung <eddie@fpgeh.com>
Mon, 25 May 2020 17:07:58 +0000 (10:07 -0700)
tests/arch/xilinx/pmgen_xilinx_srl.ys
tests/arch/xilinx/xilinx_srl.v
tests/various/attrib07_func_call.v
tests/various/constmsk_testmap.v
tests/various/shregmap.v
tests/verilog/bug2042-sv.ys

index ea2f204876cceb0f1a04e38a0edec3507581a84d..e76fb20ab3d2c84ae90c481dcc7c69165b0f9c2b 100644 (file)
@@ -1,6 +1,6 @@
 read_verilog -icells <<EOT
 module \$__XILINX_SHREG_ (input C, input D, input [31:0] L, input E, output Q, output SO);
-  parameter DEPTH = 1;
+  parameter DEPTH = 2;
   parameter [DEPTH-1:0] INIT = 0;
   parameter CLKPOL = 1;
   parameter ENPOL = 2;
index bc2a15ab2a0b126495a86756bcc93771a7266388..29920da41f4d7fdb0470f2b9a72b35dd8dbe7969 100644 (file)
@@ -29,7 +29,7 @@ endmodule
 module $__XILINX_SHREG_(input C, D, E, input [1:0] L, output Q);
 parameter CLKPOL = 1;
 parameter ENPOL = 1;
-parameter DEPTH = 1;
+parameter DEPTH = 2;
 parameter [DEPTH-1:0] INIT = {DEPTH{1'b0}};
 reg [DEPTH-1:0] r = INIT;
 wire clk = C ^ CLKPOL;
index f55ef23160919f936b56962da573702d30cc9911..8c9fb2926197f472a66b9936c311a7e432cc6b69 100644 (file)
@@ -11,7 +11,7 @@ module foo(clk, rst, inp_a, inp_b, out);
   input  wire rst;
   input  wire [7:0] inp_a;
   input  wire [7:0] inp_b;
-  output wire [7:0] out;
+  output reg  [7:0] out;
 
   always @(posedge clk)
     if (rst) out <= 0;
index fab1b1bbc119c4479e6a741c94513d4716a1d729..b6809c7c04c615f5df53c2881529bb9e460e5437 100644 (file)
@@ -1,7 +1,7 @@
 (* techmap_celltype = "$reduce_or" *)
 module my_opt_reduce_or(...);
     parameter A_SIGNED = 0;
-    parameter A_WIDTH = 1;
+    parameter A_WIDTH = 2;
     parameter Y_WIDTH = 1;
 
     input [A_WIDTH-1:0] A;
index 604c2c976b9f27ab681f61cb32e16963a3529210..dc828eda7165e125b94761f4eaa092eabb2b33a0 100644 (file)
@@ -13,7 +13,7 @@ assign q = {shift2[3], shift1[3]};
 endmodule
 
 module $__SHREG_DFF_P_(input C, D, output Q);
-parameter DEPTH = 1;
+parameter DEPTH = 2;
 parameter [DEPTH-1:0] INIT = {DEPTH{1'b0}};
 reg [DEPTH-1:0] r = INIT;
 always @(posedge C) 
@@ -38,7 +38,7 @@ endmodule
 module $__XILINX_SHREG_(input C, D, input [1:0] L, output Q);
 parameter CLKPOL = 1;
 parameter ENPOL = 1;
-parameter DEPTH = 1;
+parameter DEPTH = 2;
 parameter [DEPTH-1:0] INIT = {DEPTH{1'b0}};
 reg [DEPTH-1:0] r = INIT;
 wire clk = C ^ CLKPOL;
index e815d7fc57cd8509c32c2c7f4cd2bb50fdef6ef6..91989f412c5579d1acaa54ddc323c94eb5e9d8b3 100644 (file)
@@ -2,7 +2,7 @@ read_verilog -sv <<EOT
 module Task_Test_Top
 (
 input a,
-output b
+output reg b
 );
 
     task SomeTaskName(a);