(no commit message)
authorlkcl <lkcl@web>
Wed, 7 Jul 2021 11:27:57 +0000 (12:27 +0100)
committerIkiWiki <ikiwiki.info>
Wed, 7 Jul 2021 11:27:57 +0000 (12:27 +0100)
conferences/iit_roorkee_2021.mdwn

index bee3b7137fe8f0eb7178967b354a4687953cae66..152360cbe069792cedcae995daa4872c14d35d95 100644 (file)
@@ -19,7 +19,13 @@ simply not normal Industry-Standard practice.
 This talk therefore goes through the workflow, from the original
 HDL through to the GDS-II layout, showing how we were able to keep
 track of the development that led to the IMEC 180nm tape-out in
-July 2021.
+July 2021.  In particular, by following a parallel development
+process involving "Real" and "Symbolic" Cell Libraries, developed
+by Chips4Makers, will be shown how our developers did not need to
+sign a Foundry NDA, but were still able to work side-by-side with
+a University that did.  With this parallel development process,
+the University upheld their NDA obligations, and Libre-SOC were
+simultaneously able to honour its Transparency Objectives.
 
 # Links