Add $size() function. At the moment it works only on expressions, not on memories.
authorUdi Finkelstein <github@udifink.com>
Tue, 26 Sep 2017 03:25:42 +0000 (06:25 +0300)
committerUdi Finkelstein <github@udifink.com>
Tue, 26 Sep 2017 03:25:42 +0000 (06:25 +0300)
frontends/ast/simplify.cc
tests/simple/functions01.sv [new file with mode: 0644]

index 28c9945abf420fd1f94ca583c3201d1e4548499a..541fe1b18969421af20fa610e92b8179e2fb5baa 100644 (file)
@@ -1870,6 +1870,20 @@ skip_dynamic_range_lvalue_expansion:;
                                goto apply_newNode;
                        }
 
+                       if (str == "\\$size")
+                       {
+                               if (children.size() != 1)
+                                       log_error("System function %s got %d arguments, expected 1 at %s:%d.\n",
+                                                       RTLIL::unescape_id(str).c_str(), int(children.size()), filename.c_str(), linenum);
+
+                               AstNode *buf = children[0]->clone();
+                               buf->detectSignWidth(width_hint, sign_hint);
+                               delete buf;
+
+                               newNode = mkconst_int(width_hint, false);
+                               goto apply_newNode;
+                       }
+
                        if (str == "\\$ln" || str == "\\$log10" || str == "\\$exp" || str == "\\$sqrt" || str == "\\$pow" ||
                                        str == "\\$floor" || str == "\\$ceil" || str == "\\$sin" || str == "\\$cos" || str == "\\$tan" ||
                                        str == "\\$asin" || str == "\\$acos" || str == "\\$atan" || str == "\\$atan2" || str == "\\$hypot" ||
diff --git a/tests/simple/functions01.sv b/tests/simple/functions01.sv
new file mode 100644 (file)
index 0000000..0fa1da6
--- /dev/null
@@ -0,0 +1,15 @@
+module functions01;
+wire [3:0]x;
+wire [$size(x)-1:0]x_size;
+wire [$size({x, x})-1:0]xx_size;
+wire [3:0]w[0:5];
+
+//
+// The following are not supported yet:
+//
+
+//wire [$size(w)-1:0]w_s;
+//wire [$bits(x)-1:0]x_bits;
+//wire [$bits({x, x})-1:0]xx_bits;
+
+endmodule