Fixed trailing whitespaces
authorClifford Wolf <clifford@clifford.at>
Thu, 2 Jul 2015 09:14:30 +0000 (11:14 +0200)
committerClifford Wolf <clifford@clifford.at>
Thu, 2 Jul 2015 09:14:30 +0000 (11:14 +0200)
195 files changed:
README
backends/blif/blif.cc
backends/btor/README
backends/btor/btor.cc
backends/btor/verilog2btor.sh
backends/edif/edif.cc
backends/ilang/ilang_backend.cc
backends/ilang/ilang_backend.h
backends/intersynth/intersynth.cc
backends/json/json.cc
backends/smt2/smt2.cc
backends/smv/smv.cc
backends/spice/spice.cc
backends/verilog/verilog_backend.cc
frontends/ast/ast.cc
frontends/ast/ast.h
frontends/ast/dpicall.cc
frontends/ast/genrtlil.cc
frontends/ast/simplify.cc
frontends/blif/blifparse.cc
frontends/blif/blifparse.h
frontends/ilang/ilang_frontend.cc
frontends/ilang/ilang_frontend.h
frontends/ilang/ilang_lexer.l
frontends/ilang/ilang_parser.y
frontends/liberty/liberty.cc
frontends/verific/verific.cc
frontends/verilog/const2ast.cc
frontends/verilog/preproc.cc
frontends/verilog/verilog_frontend.cc
frontends/verilog/verilog_frontend.h
frontends/verilog/verilog_lexer.l
frontends/verilog/verilog_parser.y
frontends/vhdl2verilog/vhdl2verilog.cc
kernel/bitpattern.h
kernel/calc.cc
kernel/cellaigs.cc
kernel/cellaigs.h
kernel/celltypes.h
kernel/consteval.h
kernel/cost.h
kernel/driver.cc
kernel/hashlib.h
kernel/log.cc
kernel/log.h
kernel/macc.h
kernel/modtools.h
kernel/register.cc
kernel/register.h
kernel/rtlil.cc
kernel/rtlil.h
kernel/satgen.h
kernel/sigtools.h
kernel/utils.h
kernel/yosys.cc
kernel/yosys.h
libs/ezsat/demo_bit.cc
libs/ezsat/demo_cmp.cc
libs/ezsat/demo_vec.cc
libs/ezsat/ezminisat.cc
libs/ezsat/ezminisat.h
libs/ezsat/ezsat.cc
libs/ezsat/ezsat.h
libs/ezsat/puzzle3d.cc
libs/ezsat/testbench.cc
libs/subcircuit/README
libs/subcircuit/subcircuit.cc
libs/subcircuit/subcircuit.h
libs/subcircuit/test_large.spl
manual/APPNOTE_010_Verilog_to_BLIF.tex
manual/APPNOTE_011_Design_Investigation.tex
manual/APPNOTE_012_Verilog_to_BTOR.tex
manual/CHAPTER_Appnotes.tex
manual/CHAPTER_Basics.tex
manual/CHAPTER_Eval/grep-it.sh
manual/CHAPTER_Intro.tex
manual/CHAPTER_Optimize.tex
manual/CHAPTER_Overview.tex
manual/CHAPTER_Prog/stubnets.cc
manual/CHAPTER_StateOfTheArt/simlib_hana.v
manual/CHAPTER_StateOfTheArt/simlib_yosys.v
manual/CHAPTER_Verilog.tex
manual/PRESENTATION_ExAdv.tex
manual/PRESENTATION_ExAdv/addshift_map.v
manual/PRESENTATION_ExAdv/red_or3x1_map.v
manual/PRESENTATION_ExAdv/sym_mul_map.v
manual/PRESENTATION_ExOth.tex
manual/PRESENTATION_ExSyn.tex
manual/PRESENTATION_Intro/counter.ys
manual/PRESENTATION_Prog.tex
manual/command-reference-manual.tex
misc/yosysjs/yosysjs.js
passes/cmds/add.cc
passes/cmds/check.cc
passes/cmds/connect.cc
passes/cmds/connwrappers.cc
passes/cmds/copy.cc
passes/cmds/delete.cc
passes/cmds/design.cc
passes/cmds/rename.cc
passes/cmds/scatter.cc
passes/cmds/scc.cc
passes/cmds/select.cc
passes/cmds/setattr.cc
passes/cmds/setundef.cc
passes/cmds/show.cc
passes/cmds/splice.cc
passes/cmds/splitnets.cc
passes/cmds/stat.cc
passes/equiv/equiv_add.cc
passes/equiv/equiv_induct.cc
passes/equiv/equiv_make.cc
passes/equiv/equiv_miter.cc
passes/equiv/equiv_remove.cc
passes/equiv/equiv_simple.cc
passes/equiv/equiv_status.cc
passes/fsm/fsm.cc
passes/fsm/fsm_detect.cc
passes/fsm/fsm_expand.cc
passes/fsm/fsm_export.cc
passes/fsm/fsm_extract.cc
passes/fsm/fsm_info.cc
passes/fsm/fsm_map.cc
passes/fsm/fsm_opt.cc
passes/fsm/fsm_recode.cc
passes/fsm/fsmdata.h
passes/hierarchy/hierarchy.cc
passes/hierarchy/submod.cc
passes/memory/memory.cc
passes/memory/memory_bram.cc
passes/memory/memory_collect.cc
passes/memory/memory_dff.cc
passes/memory/memory_map.cc
passes/memory/memory_share.cc
passes/memory/memory_unpack.cc
passes/opt/Makefile.inc
passes/opt/opt.cc
passes/opt/opt_clean.cc
passes/opt/opt_const.cc
passes/opt/opt_muxtree.cc
passes/opt/opt_reduce.cc
passes/opt/opt_rmdff.cc
passes/opt/opt_share.cc
passes/opt/share.cc
passes/opt/wreduce.cc
passes/proc/proc.cc
passes/proc/proc_arst.cc
passes/proc/proc_clean.cc
passes/proc/proc_dff.cc
passes/proc/proc_dlatch.cc
passes/proc/proc_init.cc
passes/proc/proc_mux.cc
passes/proc/proc_rmdead.cc
passes/sat/eval.cc
passes/sat/expose.cc
passes/sat/freduce.cc
passes/sat/miter.cc
passes/sat/sat.cc
passes/techmap/abc.cc
passes/techmap/aigmap.cc
passes/techmap/alumacc.cc
passes/techmap/dff2dffe.cc
passes/techmap/dffinit.cc
passes/techmap/dfflibmap.cc
passes/techmap/extract.cc
passes/techmap/hilomap.cc
passes/techmap/iopadmap.cc
passes/techmap/libparse.cc
passes/techmap/libparse.h
passes/techmap/maccmap.cc
passes/techmap/muxcover.cc
passes/techmap/pmuxtree.cc
passes/techmap/simplemap.cc
passes/techmap/simplemap.h
passes/techmap/techmap.cc
passes/tests/test_autotb.cc
techlibs/cmos/counter.v
techlibs/common/simcells.v
techlibs/common/simlib.v
techlibs/common/synth.cc
techlibs/common/techmap.v
techlibs/ice40/arith_map.v
techlibs/ice40/cells_sim.v
techlibs/ice40/ice40_ffssr.cc
techlibs/ice40/ice40_opt.cc
techlibs/ice40/synth_ice40.cc
techlibs/xilinx/arith_map.v
techlibs/xilinx/brams.txt
techlibs/xilinx/synth_xilinx.cc
tests/fsm/generate.py
tests/realmath/generate.py
tests/share/generate.py
tests/simple/loops.v
tests/simple/mem2reg.v
tests/simple/omsp_dbg_uart.v

diff --git a/README b/README
index 3f5e61dd3dc6834b8506c2366a732c888be2d410..fca45d9268ef27d8f9e6173873614d39ed797ca7 100644 (file)
--- a/README
+++ b/README
@@ -63,7 +63,7 @@ There are also pre-compiled Yosys binary packages for Ubuntu and Win32 as well
 as a source distribution for Visual Studio. Visit the Yosys download page for
 more information:
 
-       http://www.clifford.at/yosys/download.html 
+       http://www.clifford.at/yosys/download.html
 
 To configure the build system to use a specific compiler, use one of
 
index af6f8726ade15f1bffb8774deb9720e15e039c84..8d13a26d8ef61a18fb982cfa44e7dd08ad53ee8d 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index fcfe1482c22e71ef161f7c383a8205c2dc69c6ae..efcf0d8f57d2e8e7df5115682065df0c1d7c03d0 100644 (file)
@@ -6,7 +6,7 @@ Master git repository for the BTOR backend:
 https://github.com/ahmedirfan1983/yosys
 
 
-[[CITE]] BTOR: Bit-Precise Modelling of Word-Level Problems for Model Checking 
+[[CITE]] BTOR: Bit-Precise Modelling of Word-Level Problems for Model Checking
 Johannes Kepler University, Linz, Austria
 http://fmv.jku.at/papers/BrummayerBiereLonsing-BPR08.pdf
 
index 079a82a2f9196657cd54c8a8864bbb8c0066367d..cd050314869729893539be265d8f35aeac6637b9 100644 (file)
@@ -3,11 +3,11 @@
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
  *  Copyright (C) 2014  Ahmed Irfan <irfan@fbk.eu>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -18,7 +18,7 @@
  *
  */
 
-// [[CITE]] BTOR: Bit-Precise Modelling of Word-Level Problems for Model Checking 
+// [[CITE]] BTOR: Bit-Precise Modelling of Word-Level Problems for Model Checking
 // Johannes Kepler University, Linz, Austria
 // http://fmv.jku.at/papers/BrummayerBiereLonsing-BPR08.pdf
 
@@ -75,10 +75,10 @@ struct BtorDumper
        std::map<RTLIL::SigSpec, int> sig_ref;//mapping of sigspec to the line_num of the btor file
        int line_num;//last line number of btor file
        std::string str;//temp string for writing file
-       std::map<RTLIL::IdString, bool> basic_wires;//input wires and registers 
+       std::map<RTLIL::IdString, bool> basic_wires;//input wires and registers
        RTLIL::IdString curr_cell; //current cell being dumped
        std::map<std::string, std::string> cell_type_translation, s_cell_type_translation; //RTLIL to BTOR translation
-        std::map<int, std::set<std::pair<int,int>>> mem_next; // memory (line_number)'s set of condition and write 
+        std::map<int, std::set<std::pair<int,int>>> mem_next; // memory (line_number)'s set of condition and write
        BtorDumper(std::ostream &f, RTLIL::Module *module, RTLIL::Design *design, BtorDumperConfig *config) :
                f(f), module(module), design(design), config(config), ct(design), sigmap(module)
        {
@@ -143,7 +143,7 @@ struct BtorDumper
                //concat
                cell_type_translation["$concat"] = "concat";
 
-               //signed cell type translation 
+               //signed cell type translation
                //binary
                s_cell_type_translation["$modx"] = "srem";
                s_cell_type_translation["$mody"] = "smod";
@@ -152,9 +152,9 @@ struct BtorDumper
                s_cell_type_translation["$le"] = "slte";
                s_cell_type_translation["$gt"] = "sgt";
                s_cell_type_translation["$ge"] = "sgte";
-                
+
        }
-       
+
        vector<shared_str> cstr_buf;
 
        const char *cstr(const RTLIL::IdString id)
@@ -166,17 +166,17 @@ struct BtorDumper
                cstr_buf.push_back(str);
                return cstr_buf.back().c_str();
        }
-       
+
        int dump_wire(RTLIL::Wire* wire)
        {
                if(basic_wires[wire->name])
-               {       
+               {
                        log("writing wire %s\n", cstr(wire->name));
                        auto it = line_ref.find(wire->name);
                        if(it==std::end(line_ref))
                        {
                                ++line_num;
-                               line_ref[wire->name]=line_num;                  
+                               line_ref[wire->name]=line_num;
                                str = stringf("%d var %d %s", line_num, wire->width, cstr(wire->name));
                                f << stringf("%s\n", str.c_str());
                                return line_num;
@@ -200,7 +200,7 @@ struct BtorDumper
                                        log(" -- found cell %s\n", cstr(cell_id));
                                        RTLIL::Cell* cell = module->cells_.at(cell_id);
                                        const RTLIL::SigSpec* cell_output = get_cell_output(cell);
-                                       int cell_line = dump_cell(cell);                                
+                                       int cell_line = dump_cell(cell);
 
                                        if(dep_set.size()==1 && wire->width == cell_output->size())
                                        {
@@ -235,7 +235,7 @@ struct BtorDumper
                                }
                                if(dep_set.size()==0)
                                {
-                                       log(" - checking sigmap\n");                                            
+                                       log(" - checking sigmap\n");
                                        RTLIL::SigSpec s = RTLIL::SigSpec(wire);
                                        wire_line = dump_sigspec(&s, s.size());
                                        line_ref[wire->name]=wire_line;
@@ -243,16 +243,16 @@ struct BtorDumper
                                line_ref[wire->name]=wire_line;
                                return wire_line;
                        }
-                       else 
+                       else
                        {
-                               log(" -- already processed wire\n");                    
+                               log(" -- already processed wire\n");
                                return it->second;
                        }
                }
                log_abort();
                return -1;
        }
-       
+
        int dump_memory(const RTLIL::Memory* memory)
        {
                log("writing memory %s\n", cstr(memory->name));
@@ -262,7 +262,7 @@ struct BtorDumper
                        ++line_num;
                        int address_bits = ceil(log(memory->size)/log(2));
                        str = stringf("%d array %d %d", line_num, memory->width, address_bits);
-                       line_ref[memory->name]=line_num;                        
+                       line_ref[memory->name]=line_num;
                        f << stringf("%s\n", str.c_str());
                        return line_num;
                }
@@ -300,7 +300,7 @@ struct BtorDumper
                      f << stringf("%s\n", str.c_str());
                    }
                  ++line_num;
-                 str = stringf("%d anext %d %d %d %d", line_num, memory->width, address_bits, mem_it->second, line_num-1);                                                                                                                 
+                 str = stringf("%d anext %d %d %d %d", line_num, memory->width, address_bits, mem_it->second, line_num-1);
                  f << stringf("%s\n", str.c_str());
                  return 1;
                }
@@ -326,11 +326,11 @@ struct BtorDumper
                        return line_num;
                }
                else
-                       log("writing const error\n");           
+                       log("writing const error\n");
                log_abort();
                return -1;
        }
-       
+
        int dump_sigchunk(const RTLIL::SigChunk* chunk)
        {
                log("writing sigchunk\n");
@@ -338,21 +338,21 @@ struct BtorDumper
                if(chunk->wire == NULL)
                {
                        RTLIL::Const data_const(chunk->data);
-                       l=dump_const(&data_const, chunk->width, chunk->offset);                 
+                       l=dump_const(&data_const, chunk->width, chunk->offset);
                }
                else
                {
                        if (chunk->width == chunk->wire->width && chunk->offset == 0)
                                l = dump_wire(chunk->wire);
-                       else 
+                       else
                        {
                                int wire_line_num = dump_wire(chunk->wire);
                                log_assert(wire_line_num>0);
                                ++line_num;
-                               str = stringf("%d slice %d %d %d %d;2", line_num, chunk->width, wire_line_num, 
+                               str = stringf("%d slice %d %d %d %d;2", line_num, chunk->width, wire_line_num,
                                        chunk->width + chunk->offset - 1, chunk->offset);
                                f << stringf("%s\n", str.c_str());
-                               l = line_num;                            
+                               l = line_num;
                        }
                }
                return l;
@@ -369,8 +369,8 @@ struct BtorDumper
                        if (s.is_chunk())
                        {
                                l = dump_sigchunk(&s.chunks().front());
-                       } 
-                       else 
+                       }
+                       else
                        {
                                int l1, l2, w1, w2;
                                l1 = dump_sigchunk(&s.chunks().front());
@@ -395,7 +395,7 @@ struct BtorDumper
                {
                        l = it->second;
                }
-               
+
                if (expected_width != s.size())
                {
                        log(" - changing width of sigspec\n");
@@ -422,7 +422,7 @@ struct BtorDumper
                log_assert(l>0);
                return l;
        }
-       
+
        int dump_cell(const RTLIL::Cell* cell)
        {
                auto it = line_ref.find(cell->name);
@@ -466,10 +466,10 @@ struct BtorDumper
                                int w = cell->parameters.at(RTLIL::IdString("\\A_WIDTH")).as_int();
                                int output_width = cell->parameters.at(RTLIL::IdString("\\Y_WIDTH")).as_int();
                                w = w>output_width ? w:output_width; //padding of w
-                               int l = dump_sigspec(&cell->getPort(RTLIL::IdString("\\A")), w);                                
+                               int l = dump_sigspec(&cell->getPort(RTLIL::IdString("\\A")), w);
                                int cell_line = l;
                                if(cell->type != "$pos")
-                               {       
+                               {
                                        cell_line = ++line_num;
                                        bool reduced = (cell->type == "$not" || cell->type == "$neg") ? false : true;
                                        str = stringf ("%d %s %d %d", cell_line, cell_type_translation.at(cell->type.str()).c_str(), reduced?output_width:w, l);
@@ -481,7 +481,7 @@ struct BtorDumper
                                        str = stringf ("%d slice %d %d %d %d;4", line_num, output_width, cell_line, output_width-1, 0);
                                        f << stringf("%s\n", str.c_str());
                                        cell_line = line_num;
-                               }                               
+                               }
                                line_ref[cell->name]=cell_line;
                        }
                        else if(cell->type == "$reduce_xnor" || cell->type == "$logic_not")//no direct translation in btor
@@ -502,7 +502,7 @@ struct BtorDumper
                                        ++line_num;
                                        str = stringf ("%d %s %d %d", line_num, cell_type_translation.at("$reduce_xor").c_str(), output_width, l);
                                        f << stringf("%s\n", str.c_str());
-                               }               
+                               }
                                ++line_num;
                                str = stringf ("%d %s %d %d", line_num, cell_type_translation.at("$not").c_str(), output_width, l);
                                f << stringf("%s\n", str.c_str());
@@ -510,7 +510,7 @@ struct BtorDumper
                        }
                        //binary cells
                        else if(cell->type == "$and" || cell->type == "$or" || cell->type == "$xor" || cell->type == "$xnor" ||
-                                cell->type == "$lt" || cell->type == "$le" || cell->type == "$eq" || cell->type == "$ne" || 
+                                cell->type == "$lt" || cell->type == "$le" || cell->type == "$eq" || cell->type == "$ne" ||
                                 cell->type == "$eqx" || cell->type == "$nex" || cell->type == "$ge" || cell->type == "$gt" )
                        {
                                log("writing binary cell - %s\n", cstr(cell->type));
@@ -521,15 +521,15 @@ struct BtorDumper
                                bool l2_signed YS_ATTRIBUTE(unused) = cell->parameters.at(RTLIL::IdString("\\B_SIGNED")).as_bool();
                                int l1_width = cell->parameters.at(RTLIL::IdString("\\A_WIDTH")).as_int();
                                int l2_width =  cell->parameters.at(RTLIL::IdString("\\B_WIDTH")).as_int();
-                               
+
                                log_assert(l1_signed == l2_signed);
-                               l1_width = l1_width > output_width ? l1_width : output_width;                                   
+                               l1_width = l1_width > output_width ? l1_width : output_width;
                                l1_width = l1_width > l2_width ? l1_width : l2_width;
                                l2_width = l2_width > l1_width ? l2_width : l1_width;
 
                                int l1 = dump_sigspec(&cell->getPort(RTLIL::IdString("\\A")), l1_width);
                                int l2 = dump_sigspec(&cell->getPort(RTLIL::IdString("\\B")), l2_width);
-                               
+
                                ++line_num;
                                std::string op = cell_type_translation.at(cell->type.str());
                                if(cell->type == "$lt" || cell->type == "$le" ||
@@ -539,13 +539,13 @@ struct BtorDumper
                                        if(l1_signed)
                                                op = s_cell_type_translation.at(cell->type.str());
                                }
-                               
+
                                str = stringf ("%d %s %d %d %d", line_num, op.c_str(), output_width, l1, l2);
                                f << stringf("%s\n", str.c_str());
 
                                line_ref[cell->name]=line_num;
                        }
-                       else if(cell->type == "$add" || cell->type == "$sub" || cell->type == "$mul" || cell->type == "$div" || 
+                       else if(cell->type == "$add" || cell->type == "$sub" || cell->type == "$mul" || cell->type == "$div" ||
                                 cell->type == "$mod" )
                        {
                                //TODO: division by zero case
@@ -555,15 +555,15 @@ struct BtorDumper
                                bool l2_signed = cell->parameters.at(RTLIL::IdString("\\B_SIGNED")).as_bool();
                                int l1_width = cell->parameters.at(RTLIL::IdString("\\A_WIDTH")).as_int();
                                int l2_width =  cell->parameters.at(RTLIL::IdString("\\B_WIDTH")).as_int();
-                               
+
                                log_assert(l1_signed == l2_signed);
-                               l1_width = l1_width > output_width ? l1_width : output_width;                                   
+                               l1_width = l1_width > output_width ? l1_width : output_width;
                                l1_width = l1_width > l2_width ? l1_width : l2_width;
                                l2_width = l2_width > l1_width ? l2_width : l1_width;
 
                                int l1 = dump_sigspec(&cell->getPort(RTLIL::IdString("\\A")), l1_width);
                                int l2 = dump_sigspec(&cell->getPort(RTLIL::IdString("\\B")), l2_width);
-                               
+
                                ++line_num;
                                std::string op = cell_type_translation.at(cell->type.str());
                                if(cell->type == "$div" && l1_signed)
@@ -631,7 +631,7 @@ struct BtorDumper
                                        f << stringf("%s\n", str.c_str());
                                        cell_output = line_num;
                                }
-                               line_ref[cell->name] = cell_output;     
+                               line_ref[cell->name] = cell_output;
                        }
                        else if(cell->type == "$logic_and" || cell->type == "$logic_or")//no direct translation in btor
                        {
@@ -678,7 +678,7 @@ struct BtorDumper
                                int l2 = dump_sigspec(&cell->getPort(RTLIL::IdString("\\B")), output_width);
                                int s = dump_sigspec(&cell->getPort(RTLIL::IdString("\\S")), 1);
                                ++line_num;
-                               str = stringf ("%d %s %d %d %d %d", 
+                               str = stringf ("%d %s %d %d %d %d",
                                        line_num, cell_type_translation.at(cell->type.str()).c_str(), output_width, s, l2, l1);
                                //if s is 0 then l1, if s is 1 then l2 //according to the implementation of mux cell
                                f << stringf("%s\n", str.c_str());
@@ -693,7 +693,7 @@ struct BtorDumper
                           int cases = dump_sigspec(&cell->getPort(RTLIL::IdString("\\B")), output_width*select_width);
                           int select = dump_sigspec(&cell->getPort(RTLIL::IdString("\\S")), select_width);
                           int *c = new int[select_width];
-                          
+
                           for (int i=0; i<select_width; ++i)
                           {
                             ++line_num;
@@ -701,15 +701,15 @@ struct BtorDumper
                             f << stringf("%s\n", str.c_str());
                             c[i] = line_num;
                             ++line_num;
-                            str = stringf ("%d slice %d %d %d %d", line_num, output_width, cases, i*output_width+output_width-1, 
+                            str = stringf ("%d slice %d %d %d %d", line_num, output_width, cases, i*output_width+output_width-1,
                                            i*output_width);
                             f << stringf("%s\n", str.c_str());
                           }
-                          
+
                           ++line_num;
                           str = stringf ("%d cond %d %d %d %d", line_num, output_width, c[select_width-1], c[select_width-1]+1, default_case);
                           f << stringf("%s\n", str.c_str());
-                          
+
                           for (int i=select_width-2; i>=0; --i)
                           {
                             ++line_num;
@@ -741,7 +741,7 @@ struct BtorDumper
                                        {
                                                start_bit+=output_width;
                                                slice = ++line_num;
-                                               str = stringf ("%d slice %d %d %d %d;", line_num, output_width, value, start_bit-1, 
+                                               str = stringf ("%d slice %d %d %d %d;", line_num, output_width, value, start_bit-1,
                                                        start_bit-output_width);
                                                f << stringf("%s\n", str.c_str());
                                        }
@@ -753,16 +753,16 @@ struct BtorDumper
                                                        output_width);
                                                bool sync_reset_value_pol = cell->parameters.at(RTLIL::IdString("\\SET_POLARITY")).as_bool();
                                                ++line_num;
-                                               str = stringf ("%d %s %d %s%d %s%d %d", line_num, cell_type_translation.at("$mux").c_str(), 
-                                                       output_width, sync_reset_pol ? "":"-", sync_reset, sync_reset_value_pol? "":"-", 
+                                               str = stringf ("%d %s %d %s%d %s%d %d", line_num, cell_type_translation.at("$mux").c_str(),
+                                                       output_width, sync_reset_pol ? "":"-", sync_reset, sync_reset_value_pol? "":"-",
                                                        sync_reset_value, slice);
                                                f << stringf("%s\n", str.c_str());
                                                slice = line_num;
                                        }
                                        ++line_num;
-                                       str = stringf ("%d %s %d %s%d %d %d", line_num, cell_type_translation.at("$mux").c_str(), 
+                                       str = stringf ("%d %s %d %s%d %d %d", line_num, cell_type_translation.at("$mux").c_str(),
                                                output_width, polarity?"":"-", cond, slice, reg);
-                               
+
                                        f << stringf("%s\n", str.c_str());
                                        int next = line_num;
                                        if(cell->type == "$adff")
@@ -772,12 +772,12 @@ struct BtorDumper
                                                int async_reset_value = dump_const(&cell->parameters.at(RTLIL::IdString("\\ARST_VALUE")),
                                                        output_width, 0);
                                                ++line_num;
-                                               str = stringf ("%d %s %d %s%d %d %d", line_num, cell_type_translation.at("$mux").c_str(), 
+                                               str = stringf ("%d %s %d %s%d %d %d", line_num, cell_type_translation.at("$mux").c_str(),
                                                        output_width, async_reset_pol ? "":"-", async_reset, async_reset_value, next);
                                                f << stringf("%s\n", str.c_str());
                                        }
                                        ++line_num;
-                                       str = stringf ("%d %s %d %d %d", line_num, cell_type_translation.at(cell->type.str()).c_str(), 
+                                       str = stringf ("%d %s %d %d %d", line_num, cell_type_translation.at(cell->type.str()).c_str(),
                                                output_width, reg, next);
                                        f << stringf("%s\n", str.c_str());
                                }
@@ -795,7 +795,7 @@ struct BtorDumper
                                int address = dump_sigspec(&cell->getPort(RTLIL::IdString("\\ADDR")), address_width);
                                int data_width = cell->parameters.at(RTLIL::IdString("\\WIDTH")).as_int();
                                ++line_num;
-                               str = stringf("%d read %d %d %d", line_num, data_width, mem, address);  
+                               str = stringf("%d read %d %d %d", line_num, data_width, mem, address);
                                f << stringf("%s\n", str.c_str());
                                line_ref[cell->name]=line_num;
                        }
@@ -829,7 +829,7 @@ struct BtorDumper
                                         f << stringf("%s\n", str.c_str());
                                         mem = line_num - 1;
                                }
-                               */                             
+                               */
                                ++line_num;
                                if(polarity)
                                        str = stringf("%d one 1", line_num);
@@ -837,21 +837,21 @@ struct BtorDumper
                                        str = stringf("%d zero 1", line_num);
                                f << stringf("%s\n", str.c_str());
                                ++line_num;
-                               str = stringf("%d eq 1 %d %d", line_num, clk, line_num-1);      
+                               str = stringf("%d eq 1 %d %d", line_num, clk, line_num-1);
                                f << stringf("%s\n", str.c_str());
                                ++line_num;
-                               str = stringf("%d and 1 %d %d", line_num, line_num-1, enable);  
+                               str = stringf("%d and 1 %d %d", line_num, line_num-1, enable);
                                f << stringf("%s\n", str.c_str());
                                ++line_num;
-                               str = stringf("%d write %d %d %d %d %d", line_num, data_width, address_width, mem, address, data);      
+                               str = stringf("%d write %d %d %d %d %d", line_num, data_width, address_width, mem, address, data);
                                f << stringf("%s\n", str.c_str());
                                /*
                                ++line_num;
-                               str = stringf("%d acond %d %d %d %d %d", line_num, data_width, address_width, line_num-2, line_num-1, mem);     
-                               f << stringf("%s\n", str.c_str());                              
+                               str = stringf("%d acond %d %d %d %d %d", line_num, data_width, address_width, line_num-2, line_num-1, mem);
+                               f << stringf("%s\n", str.c_str());
                                ++line_num;
-                               str = stringf("%d anext %d %d %d %d", line_num, data_width, address_width, mem, line_num-1);    
-                               f << stringf("%s\n", str.c_str());                              
+                               str = stringf("%d anext %d %d %d %d", line_num, data_width, address_width, mem, line_num-1);
+                               f << stringf("%s\n", str.c_str());
                                */
                                mem_next[mem].insert(std::make_pair(line_num-1, line_num));
                        }
@@ -865,11 +865,11 @@ struct BtorDumper
                                const RTLIL::SigSpec* output YS_ATTRIBUTE(unused) = &cell->getPort(RTLIL::IdString("\\Y"));
                                int output_width = cell->parameters.at(RTLIL::IdString("\\Y_WIDTH")).as_int();
                                log_assert(output->size() == output_width);
-                               int offset = cell->parameters.at(RTLIL::IdString("\\OFFSET")).as_int(); 
+                               int offset = cell->parameters.at(RTLIL::IdString("\\OFFSET")).as_int();
                                ++line_num;
                                str = stringf("%d %s %d %d %d %d", line_num, cell_type_translation.at(cell->type.str()).c_str(), output_width, input_line, output_width+offset-1, offset);
-                               f << stringf("%s\n", str.c_str());                              
-                               line_ref[cell->name]=line_num;  
+                               f << stringf("%s\n", str.c_str());
+                               line_ref[cell->name]=line_num;
                        }
                        else if(cell->type == "$concat")
                        {
@@ -883,10 +883,10 @@ struct BtorDumper
                                log_assert(input_b->size() == input_b_width);
                                int input_b_line = dump_sigspec(input_b, input_b_width);
                                ++line_num;
-                               str = stringf("%d %s %d %d %d", line_num, cell_type_translation.at(cell->type.str()).c_str(), input_a_width+input_b_width, 
-                                       input_a_line, input_b_line);    
-                               f << stringf("%s\n", str.c_str());                              
-                               line_ref[cell->name]=line_num;                          
+                               str = stringf("%d %s %d %d %d", line_num, cell_type_translation.at(cell->type.str()).c_str(), input_a_width+input_b_width,
+                                       input_a_line, input_b_line);
+                               f << stringf("%s\n", str.c_str());
+                               line_ref[cell->name]=line_num;
                        }
                        curr_cell.clear();
                        return line_num;
@@ -912,7 +912,7 @@ struct BtorDumper
                {
                        output_sig = &cell->getPort(RTLIL::IdString("\\Q"));
                }
-               else 
+               else
                {
                        output_sig = &cell->getPort(RTLIL::IdString("\\Y"));
                }
@@ -930,7 +930,7 @@ struct BtorDumper
        void dump()
        {
                f << stringf(";module %s\n", cstr(module->name));
-               
+
                log("creating intermediate wires map\n");
                //creating map of intermediate wires as output of some cell
                for (auto it = module->cells_.begin(); it != module->cells_.end(); ++it)
@@ -966,7 +966,7 @@ struct BtorDumper
                                        basic_wires[wire_id] = true;
                                }
                        }
-                       else 
+                       else
                        {
                                for(unsigned i=0; i<output_sig->chunks().size(); ++i)
                                {
@@ -976,11 +976,11 @@ struct BtorDumper
                                }
                        }
                }
-               
+
                log("writing input\n");
                std::map<int, RTLIL::Wire*> inputs, outputs;
                std::vector<RTLIL::Wire*> safety;
-               
+
                for (auto &wire_it : module->wires_) {
                        RTLIL::Wire *wire = wire_it.second;
                        if (wire->port_input)
@@ -998,7 +998,7 @@ struct BtorDumper
                        dump_wire(wire);
                }
                f << stringf("\n");
-               
+
                log("writing memories\n");
                for(auto mem_it = module->memories.begin(); mem_it != module->memories.end(); ++mem_it)
                {
@@ -1014,9 +1014,9 @@ struct BtorDumper
                log("writing cells\n");
                for(auto cell_it = module->cells_.begin(); cell_it != module->cells_.end(); ++cell_it)
                {
-                       dump_cell(cell_it->second);     
+                       dump_cell(cell_it->second);
                }
-               
+
                log("writing memory next");
                for(auto mem_it = module->memories.begin(); mem_it != module->memories.end(); ++mem_it)
                  {
@@ -1027,7 +1027,7 @@ struct BtorDumper
                        dump_property(it);
 
                f << stringf("\n");
-               
+
                log("writing outputs info\n");
                f << stringf(";outputs\n");
                for (auto &it : outputs) {
@@ -1047,7 +1047,7 @@ struct BtorDumper
 
 struct BtorBackend : public Backend {
        BtorBackend() : Backend("btor", "write design to BTOR file") { }
-       
+
        virtual void help()
        {
                //   |---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|---v---|
@@ -1069,7 +1069,7 @@ struct BtorBackend : public Backend {
 
                size_t argidx=1;
                extra_args(f, filename, args, argidx);
-               
+
                if (top_module_name.empty())
                        for (auto & mod_it:design->modules_)
                                if (mod_it.second->get_bool_attribute("\\top"))
@@ -1079,7 +1079,7 @@ struct BtorBackend : public Backend {
                *f << stringf(";  %s developed and maintained by Clifford Wolf <clifford@clifford.at>\n", yosys_version_str);
                *f << stringf("; BTOR Backend developed by Ahmed Irfan <irfan@fbk.eu> - Fondazione Bruno Kessler, Trento, Italy\n");
                *f << stringf(";;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;;\n");
-               
+
                std::vector<RTLIL::Module*> mod_list;
 
                for (auto module_it : design->modules_)
index abe31b9b813fcec32ce88ff9d9b858d5b64d9a2b..cfdc066a8b2a37d67a49eb10d46bdfe630c13b2f 100755 (executable)
@@ -17,11 +17,11 @@ FULL_PATH=$(readlink -f $1)
 DIR=$(dirname $FULL_PATH)
 
 ./yosys -q -p "
-read_verilog -sv $1; 
-hierarchy -top $3; 
-hierarchy -libdir $DIR; 
-hierarchy -check; 
-proc; 
+read_verilog -sv $1;
+hierarchy -top $3;
+hierarchy -libdir $DIR;
+hierarchy -check;
+proc;
 opt; opt_const -mux_undef; opt;
 rename -hide;;;
 #techmap -map +/pmux2mux.v;;
@@ -29,7 +29,7 @@ splice; opt;
 memory_dff -wr_only;
 memory_collect;;
 flatten;;
-memory_unpack; 
+memory_unpack;
 splitnets -driver;
 setundef -zero -undriven;
 opt;;;
index b089be143b7a95fb46fd76d6be8d597ba1a913cf..475e43da25300f1879232a46753a3c797e027797 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 814d3e8feecc630609ec78934482e84c0875b3af..adabf05ecebeaf460520245b4d56f6ce92ae88d0 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -492,5 +492,5 @@ struct DumpPass : public Pass {
                }
        }
 } DumpPass;
+
 PRIVATE_NAMESPACE_END
index 159cd719212e1cd5ca8929cd35a968c2eb720f9f..97dcbb6280de0301f375158abdd64eec786e5ab6 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 6d4731e73d7d8b162540e0ae44bb65e87497d86a..72a70e38046c672b187b224e5839826d751d6b8d 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -159,7 +159,7 @@ struct IntersynthBackend : public Backend {
                                }
                        }
 
-                       // Submodules: "std::set<string> celltypes_code" prevents duplicate cell types 
+                       // Submodules: "std::set<string> celltypes_code" prevents duplicate cell types
                        for (auto cell_it : module->cells_)
                        {
                                RTLIL::Cell *cell = cell_it.second;
index 59158cfa01f4deae2c04be5118b895727a86c275..388251e355f0353bcc598590b43017cc06d9b46b 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index b485b4ebea50e77a83b4797c00df93d469a33b19..4f3b2319af00c1469b65df57c42eea47159dd12a 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 9e304daefed335b810441734f90235a6fc940384..f4e8ff7246a5b222525a29634ba19684d2ab9560 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 2c614178ba5077ca65ca644a4442a77d659aa4bf..12e2c66950cbc1de53574481ff6b717fa69f93aa 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -120,7 +120,7 @@ struct SpiceBackend : public Backend {
                log("Write the current design to an SPICE netlist file.\n");
                log("\n");
                log("    -big_endian\n");
-               log("        generate multi-bit ports in MSB first order \n");
+               log("        generate multi-bit ports in MSB first order\n");
                log("        (default is LSB first)\n");
                log("\n");
                log("    -neg net_name\n");
index d160ec034b16740299410d5281e2c36586a08131..9b806461105143e6898883a5d3bb404534b23592 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -967,7 +967,7 @@ bool dump_cell_expr(std::ostream &f, std::string indent, RTLIL::Cell *cell)
                        }
                }
                // Output verilog that looks something like this:
-               // reg [..] _3_; 
+               // reg [..] _3_;
                // always @(posedge CLK2) begin
                //   _3_ <= memory[D1ADDR];
                //   if (A1EN)
@@ -1011,7 +1011,7 @@ bool dump_cell_expr(std::ostream &f, std::string indent, RTLIL::Cell *cell)
 
                return true;
        }
-       
+
        // FIXME: $_SR_[PN][PN]_, $_DLATCH_[PN]_, $_DLATCHSR_[PN][PN][PN]_
        // FIXME: $sr, $dlatch, $memrd, $memwr, $fsm
 
index 0b63248d839170edd7d7df144e71f87b0f9420ad..b93a53d27cdbaab208f16b26ea5129decfcfbab9 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -555,7 +555,7 @@ void AstNode::dumpVlog(FILE *f, std::string indent)
                children[1]->dumpVlog(f, "");
                fprintf(f, "}}");
                break;
-       
+
        if (0) { case AST_BIT_NOT:     txt = "~";  }
        if (0) { case AST_REDUCE_AND:  txt = "&";  }
        if (0) { case AST_REDUCE_OR:   txt = "|";  }
index d57e91e5a85b97de9c540d831f338185632031fe..69bbc813dc13fe1ad1ce3ead3bdf7c1878cecf38 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index e566d653d6752a6cce7105e5985670bcbb52ed77..e241142d35268cacbcf9806c7bc547e6f354fe10 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 8ed8c673ae707378e1f3c603cfa88222260943bc..ae538c54165fe63767729707dd129c44b2b6255d 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 88df28f8bbf50b40cee954c90f9227dc4bc33691..5b09ad04d3c939a9237b1ec986f15973e188802d 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -383,7 +383,7 @@ bool AstNode::simplify(bool const_fold, bool at_zero, bool in_lvalue, int stage,
                detect_width_simple = true;
                child_0_is_self_determined = true;
                break;
-       
+
        case AST_MEMRD:
                detect_width_simple = true;
                children_are_self_determined = true;
index d09c63d8400d961eef50e868cfbc64fcbc56a051..4bf0507410632ee4b5e637db98103291aee42b6b 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 1da36e00a6c1fc1735f7321e285192107ff690fd..4d7f59d6b2d52ead41e301de3dfcfcfbb275cede 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 7a4687a3cef503ce3d99c4abfaea2176de872140..7361a254bf0c57ed0ac3e540bad283c32983278a 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index b04d6c512b47b9edbed238a0b78414912752a5c2..ad3ffec90e47a22edec5fda87c7c2592459925e3 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index ace992fbd74ff40fc34661c03407a88adb1498ab..57296403c6b3d746727a3657c1cde51ffe085de0 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 4661d57722cc0dddf2ac715c0d0300013152d606..2139f91fa2be3a814b9956b9b53d922e32225eb2 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 464c5c9422830829e76604f96e94b43e58711d51..f02a73230443b4a27c51bba31e42b8e5962c27b2 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -40,7 +40,7 @@ static RTLIL::SigSpec parse_func_identifier(RTLIL::Module *module, const char *&
 
        if (id_len == 0)
                log_error("Expected identifier at `%s'.\n", expr);
-       
+
        if (id_len == 1 && (*expr == '0' || *expr == '1'))
                return *(expr++) == '0' ? RTLIL::State::S0 : RTLIL::State::S1;
 
index 5f362a0c3d1e27ccc47086ba652b64adbfaf6c9a..ce975358eed406a3750aca13ae9c33797e3cde0a 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -947,6 +947,6 @@ struct VerificPass : public Pass {
        }
 #endif
 } VerificPass;
+
 YOSYS_NAMESPACE_END
 
index 735bc5f9924b8251b9bc6914206554f18e1b7233..5dc149dfd9f7cb43da5311ada4de07d36d36a3d5 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index e2118630e3f743bae5b4553ff75193623bdb82d9..fb8a7b95f61de19aef2786e5180e85d60f38dbc6 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -109,7 +109,7 @@ static std::string next_token(bool pass_newline = false)
                }
                return token;
        }
-       
+
        if (ch == ' ' || ch == '\t')
        {
                while ((ch = next_char()) != 0) {
index 416b89bd4ffdbb39a36bd214c675faffa881423f..91bc807fc7a01395010779d82d0b3179f79b6aa3 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 5561f54cd5caad5c54610048b3efd5e493b37c5c..fb98f4afb9fb833f3253539fbe5114c912f87107 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 8fbaa953d7973d53b5a2d7a5a8282da1f59a17ba..480391532f578511a93ebf269f0d020e7a1baacc 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index d935cab377cd22a82c0c830124a63fc06bb3692a..0a6a6111e5bb335d390c0439b93c647ecb072ff4 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -1054,13 +1054,13 @@ behavioral_stmt:
        };
 
 case_type:
-       TOK_CASE { 
+       TOK_CASE {
                case_type_stack.push_back(0);
        } |
-       TOK_CASEX { 
+       TOK_CASEX {
                case_type_stack.push_back('x');
        } |
-       TOK_CASEZ { 
+       TOK_CASEZ {
                case_type_stack.push_back('z');
        };
 
index 82ff7b5024f58a09d82926a1bf0854486126b157..80bf243f09b9cb4aa05a19a1ffd262a0cb27f15c 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -178,6 +178,6 @@ struct Vhdl2verilogPass : public Pass {
                log_pop();
        }
 } Vhdl2verilogPass;
+
 YOSYS_NAMESPACE_END
 
index 00bbc3bfb50fdc7d6dbd58411f15bb8bdedf57c9..288571d99fddb0e56159a50e18ff66507f7ca3e3 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -154,7 +154,7 @@ struct BitPatternPool
        {
                return database.empty();
        }
-}; 
+};
 
 YOSYS_NAMESPACE_END
 
index 99980e92d70b88789afb1a1431e09c52ea06ab4f..32c06c189d4bdb84798663db62c0ce21fe6fd719 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 5b1e618f68c4bf992bc29d872461ba1bb2d52fbf..be2e7bbb8c45698db31695abb914dd0f764c811e 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index f548f4667833340243bad9c98d5dd3a2b500ce9a..1417a614c9ef7539326f5d9534e630817eb68ca1 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index afdbda5d7e8d922e392b3257a077eb4c5a6e1f54..229f80b71426af4f6e2d136e7353f5a2876b166e 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index c2e9710fb961449efb10d681624846b667dd760e..4d48b45eaee4566da7769fb4affd0fa2b0818f3c 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index c6c631e0a59eca8be40bc251a24f0439a70516ac..4f12889f439b3adb80d302748d556ed9b3bbf19d 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index dda27c6a6a9f01dbaf72ec1716effed1da9f6375..ce40425d24d777483bf191fdfcb9e0bafd935ea4 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index bb7afd78d2dbf7f0bb04a04e689e2c6412668789..2f8479502cb8e7f65d5f6c2311687fc4c9c9094f 100644 (file)
@@ -1,5 +1,5 @@
 // This is free and unencumbered software released into the public domain.
-// 
+//
 // Anyone is free to copy, modify, publish, use, compile, sell, or
 // distribute this software, either in source code form or as a compiled
 // binary, for any purpose, commercial or non-commercial, and by any
index 91d85772356d90ca01e81d1e83d888998ba68985..4f395c751a03e2435512f4d23e08377ba84f80de 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index abd204046d48a12fd6676004b640ff4df8cbf1e3..b113b5676013da8959cac10d8c14e30d314b4f44 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index cac5b00d7c3cb278f08fd8f54fd6c2976ba8a04d..7efd022816e6f922fb46889920f589c85450777e 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 69c13bd3b992e51ee70327d13acecfbc49a03d6c..44c1bde12b0006386370b8aaf193c3cf44a7d7e5 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index d3b21c46056c3f8f86877daf6fec0e200330176c..179d064fd2f403b60352a47e139a4a03fab1b1f0 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -659,7 +659,7 @@ struct HelpPass : public Pass {
                help();
        }
 } HelpPass;
+
 struct EchoPass : public Pass {
        EchoPass() : Pass("echo", "turning echoing back of commands on and off") { }
        virtual void help()
@@ -704,6 +704,6 @@ struct MinisatSatSolver : public SatSolver {
                return new ezMiniSAT();
        }
 } MinisatSatSolver;
+
 YOSYS_NAMESPACE_END
 
index 0a10483fd8a12c1f0449539917037c29c411535c..0ef07b7603a98a508edb06be9893056c4b0577bd 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index cc7b1a7b85403add53b1b47d6356e05e10412f61..c497ee102fa526ea1ad575ad21bb94bea26f201a 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -2870,7 +2870,7 @@ void RTLIL::SigSpec::extend_u0(int width, bool is_signed)
 
        if (width_ > width)
                remove(width, width_ - width);
-       
+
        if (width_ < width) {
                RTLIL::SigBit padding = width_ > 0 ? (*this)[width_ - 1] : RTLIL::State::S0;
                if (!is_signed)
@@ -3439,7 +3439,7 @@ RTLIL::SwitchRule *RTLIL::SwitchRule::clone() const
        for (auto &it : cases)
                new_switchrule->cases.push_back(it->clone());
        return new_switchrule;
-       
+
 }
 
 RTLIL::SyncRule *RTLIL::SyncRule::clone() const
@@ -3471,7 +3471,7 @@ RTLIL::Process *RTLIL::Process::clone() const
 
        for (auto &it : syncs)
                new_proc->syncs.push_back(it->clone());
-       
+
        return new_proc;
 }
 
index 854ec13039c42e5f0b6d0fa40509a48126bfff7d..bf39d0f06c7e748be1d45cfb1187fd3b2613fc39 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -209,7 +209,7 @@ namespace RTLIL
 
                char operator[](size_t i) const {
                        const char *p = c_str();
-                       for (; i != 0; i--, p++) 
+                       for (; i != 0; i--, p++)
                                log_assert(*p != 0);
                        return *p;
                }
@@ -954,25 +954,25 @@ public:
        RTLIL::Cell* addNot (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addPos (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addNeg (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false);
-                     
+
        RTLIL::Cell* addAnd  (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addOr   (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addXor  (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addXnor (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
-                     
+
        RTLIL::Cell* addReduceAnd  (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addReduceOr   (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addReduceXor  (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addReduceXnor (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addReduceBool (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false);
-                     
+
        RTLIL::Cell* addShl    (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addShr    (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addSshl   (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addSshr   (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addShift  (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addShiftx (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
-                     
+
        RTLIL::Cell* addLt  (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addLe  (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addEq  (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
@@ -981,21 +981,21 @@ public:
        RTLIL::Cell* addNex (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addGe  (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addGt  (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
-                     
+
        RTLIL::Cell* addAdd (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addSub (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addMul (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addDiv (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addMod (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addPow (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool a_signed = false, bool b_signed = false);
-                     
+
        RTLIL::Cell* addLogicNot (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addLogicAnd (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
        RTLIL::Cell* addLogicOr  (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y, bool is_signed = false);
-                     
+
        RTLIL::Cell* addMux  (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_s, RTLIL::SigSpec sig_y);
        RTLIL::Cell* addPmux (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_s, RTLIL::SigSpec sig_y);
-                     
+
        RTLIL::Cell* addSlice  (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_y, RTLIL::Const offset);
        RTLIL::Cell* addConcat (RTLIL::IdString name, RTLIL::SigSpec sig_a, RTLIL::SigSpec sig_b, RTLIL::SigSpec sig_y);
        RTLIL::Cell* addLut    (RTLIL::IdString name, RTLIL::SigSpec sig_i, RTLIL::SigSpec sig_o, RTLIL::Const lut);
index 719b0a83a18d5334483686be97bfee7fe3ae4e60..7b0994441a8d9b0c70e6241474da60f4f702fccf 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index f92a87dbbe7a4465b258f803e27f81406612d73c..7082ace4c988cab3647c7cee4588e0759f063b0b 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 2ec6182ea3bce87a8a762c3fe62616af3371425c..8942905fe3584af5ff0fa39c6d2e3a63c3eac035 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -186,7 +186,7 @@ struct TopoSort
                                active_stack.pop_back();
                        active_cells.erase(n);
                }
-               
+
                marked_cells.insert(n);
                sorted.push_back(n);
        }
index d66f33b1ec15dbea773d85d4110b01cd68c78b8c..2e4d5672b4fb6bfe024863c0ac0d9383f3a660fc 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index d4f46a51919ac9af153ff298ec143179784b73af..6aacd4d54bbd6a1e3ed0422722a056e6774e8fb6 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 2a5099bf7b232fcfc8811aeb9a93646062276a50..c7b11246cdf600ccfe551f497693c495d8819f11 100644 (file)
@@ -2,11 +2,11 @@
  *  ezSAT -- A simple and easy to use CNF generator for SAT solvers
  *
  *  Copyright (C) 2013  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index b2df8a8d9b71b4699d17d3d7950aad537eaab9d4..8d7ceb2b4b7a2086ac08f64d10ac4284dfb414a5 100644 (file)
@@ -2,11 +2,11 @@
  *  ezSAT -- A simple and easy to use CNF generator for SAT solvers
  *
  *  Copyright (C) 2013  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index b994f00d6686422384c3e7b8d20b7e5141c14d7c..eb8d75997fbf77c0060a25b2d00d57f299c5f95c 100644 (file)
@@ -2,11 +2,11 @@
  *  ezSAT -- A simple and easy to use CNF generator for SAT solvers
  *
  *  Copyright (C) 2013  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index dee82a8dfb2a2a41975083091eb91f352c8e28c8..e0ee6292d5424ac2985cc5bf6a1828b0e15cb474 100644 (file)
@@ -2,11 +2,11 @@
  *  ezSAT -- A simple and easy to use CNF generator for SAT solvers
  *
  *  Copyright (C) 2013  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 5b5252d880ae554791d159c850c285d9e2ba00d6..983e6fd0e620d7b1ce4ec33fea9c517536dc408c 100644 (file)
@@ -2,11 +2,11 @@
  *  ezSAT -- A simple and easy to use CNF generator for SAT solvers
  *
  *  Copyright (C) 2013  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 8d232f3357a1fcbd16b24781d72109666f4fa37d..da36fb74eadd467f7f774235eed6f699b1430f68 100644 (file)
@@ -2,11 +2,11 @@
  *  ezSAT -- A simple and easy to use CNF generator for SAT solvers
  *
  *  Copyright (C) 2013  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -1373,7 +1373,7 @@ int ezSAT::manyhot(const std::vector<int> &vec, int min_hot, int max_hot)
 
        if (max_hot < 0)
                max_hot = min_hot;
-       
+
        std::vector<int> formula;
        int M = max_hot+1, N = vec.size();
        std::map<std::pair<int,int>, int> x;
index 0faaa6b8dde30ed79343a350c764683fb33590d4..78e125bfc1676ff174c6001975d581acc33e3b75 100644 (file)
@@ -2,11 +2,11 @@
  *  ezSAT -- A simple and easy to use CNF generator for SAT solvers
  *
  *  Copyright (C) 2013  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index aee0044b42c3e76d49176b46136c92e053ef8d22..59f840f9ec0a30af7d678b634117b71cc9ae4e11 100644 (file)
@@ -2,11 +2,11 @@
  *  ezSAT -- A simple and easy to use CNF generator for SAT solvers
  *
  *  Copyright (C) 2013  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -253,7 +253,7 @@ int main()
        }
        for (size_t i = 1; i < vecvec.size(); i++)
                ez.assume(ez.ordered(vecvec[0], vecvec[1]));
-       
+
        printf("Found and eliminated %d spatial symmetries.\n", int(symmetries.size()));
        printf("Generated %d clauses over %d variables.\n", ez.numCnfClauses(), ez.numCnfVariables());
 
index d20258c379a5531ba5a8ff37cb6c0c30396c31c1..d6dc41fa9445da7689246c7ef3eb53521b16f8b0 100644 (file)
@@ -2,11 +2,11 @@
  *  ezSAT -- A simple and easy to use CNF generator for SAT solvers
  *
  *  Copyright (C) 2013  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -220,12 +220,12 @@ void test_count(uint32_t x)
                fprintf(stderr, "FAILED 6bit-no-clipping test!\n");
                abort();
        }
-       
+
        if (cv4 != sat.vec_count(v, 4, true)) {
                fprintf(stderr, "FAILED 4bit-clipping test!\n");
                abort();
        }
-       
+
        printf("ok.\n");
 }
 
index 757a9f540b5bdd31f07b8103a2b862a5ee0462f2..b1335681e8010aaa14147249225dffec9fda7d7a 100644 (file)
@@ -330,7 +330,7 @@ Mining for frequent SubCircuits
 
 The solver also contains a miner for frequent subcircuits. The following code
 fragment will find all frequent subcircuits with at least minNodes nodes and
-at most maxNodes nodes that occurs at least minMatches times: 
+at most maxNodes nodes that occurs at least minMatches times:
 
        std::vector<SubCircuit::Solver::MineResult> results;
        mySolver.mine(results, minNodes, maxNodes, minMatches);
@@ -370,7 +370,7 @@ This package also contains a small command-line tool called "scshell" that can
 be used for experimentation with the algorithm. This program reads a series of
 commands from stdin and reports its findings to stdout on exit.
 
-       $ ./scshell < test_macc22.txt 
+       $ ./scshell < test_macc22.txt
 
        ...
 
index cf14df0acb13d4c8ca0459f2144a3de2fdc07297..7c7236833ae7c53821fd568b842e4c575dbbb912 100644 (file)
@@ -3,11 +3,11 @@
  *                algorithm for coarse grain logic networks
  *
  *  Copyright (C) 2013  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index d673af88d3be21482c72092405b41bb63aee0b76..5291c6421ef29e84f2dc5edf41567bd7c4ebf972 100644 (file)
@@ -3,11 +3,11 @@
  *                algorithm for coarse grain logic networks
  *
  *  Copyright (C) 2013  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -115,7 +115,7 @@ namespace SubCircuit
 
        private:
                SolverWorker *worker;
-       
+
        protected:
                virtual bool userCompareNodes(const std::string &needleGraphId, const std::string &needleNodeId, void *needleUserData,
                                const std::string &haystackGraphId, const std::string &haystackNodeId, void *haystackUserData, const std::map<std::string, std::string> &portMapping);
index 74a47d94f3221ea485696b84069a69d91a5e5641..e33e26985b56d10fe1beb856383661bb51d480dd 100644 (file)
@@ -99,7 +99,7 @@ function makeGraph(seed, gates, primaryInputs, primaryOutputs)
 
        foreach netDecl (unusedOutpus)
                push primaryOutputs, netDecl;
-       
+
        return code;
 }
 
index 0f521fb0a023eb354946b8a29562b3e42f7fbfcf..3e36fa386b59bc7af27a07863e2601060c1e912b 100644 (file)
@@ -150,11 +150,11 @@ write_blif softusb_navre.blif
 \end{figure}
 
 The first and last line obviously read the Verilog file and write the BLIF
-file. 
+file.
 
 \medskip
 
-The 2nd line checks the design hierarchy and instantiates parametrized 
+The 2nd line checks the design hierarchy and instantiates parametrized
 versions of the modules in the design, if necessary. In the case of this
 simple design this is a no-op. However, as a general rule a synthesis script
 should always contain this command as first command after reading the input
@@ -174,7 +174,7 @@ instead of {\tt opt}.
 \item The command {\tt proc} converts {\it processes} (Yosys' internal
 representation of Verilog {\tt always}- and {\tt initial}-blocks) to circuits
 of multiplexers and storage elements (various types of flip-flops).
-\item The command {\tt memory} converts Yosys' internal representations of 
+\item The command {\tt memory} converts Yosys' internal representations of
 arrays and array accesses to multi-port block memories, and then maps this
 block memories to address decoders and flip-flops, unless the option {\tt -nomap}
 is used, in which case the multi-port block memories stay in the design
index 504ab7ec6d98b43a81249ac31254585feb89327b..b9a8237f495111ba134f99cef0a2a53ef59827f3 100644 (file)
@@ -256,7 +256,7 @@ Verilog file containing blackbox modules. There are two ways to load cell
 descriptions into Yosys: First the Verilog file for the cell library can be
 passed directly to the {\tt show} command using the {\tt -lib <filename>}
 option. Secondly it is possible to load cell libraries into the design with
-the {\tt read\_verilog -lib <filename>} command. The 2nd method has the great 
+the {\tt read\_verilog -lib <filename>} command. The 2nd method has the great
 advantage that the library only needs to be loaded once and can then be used
 in all subsequent calls to the {\tt show} command.
 
@@ -296,7 +296,7 @@ In addition to {\it what\/} to display one also needs to carefully decide
 {\it when\/} to display it, with respect to the synthesis flow. In general
 it is a good idea to troubleshoot a circuit in the earliest state in which
 a problem can be reproduced. So if, for example, the internal state before calling
-the {\tt techmap} command already fails to verify, it is better to troubleshoot 
+the {\tt techmap} command already fails to verify, it is better to troubleshoot
 the coarse-grain version of the circuit before {\tt techmap} than the gate-level
 circuit after {\tt techmap}.
 
@@ -316,7 +316,7 @@ yosys> ls
 1 modules:
   example
 
-yosys> cd example 
+yosys> cd example
 
 yosys [example]> ls
 
@@ -708,7 +708,7 @@ For example (see Fig.~\ref{submod} for the circuit diagram of {\tt selstage}):
 {\scriptsize
 \begin{verbatim}
    yosys [selstage]> eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1
-   
+
    9. Executing EVAL pass (evaluate the circuit given an input).
    Full command line: eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1
    Eval result: \n2 = 2'10.
@@ -729,10 +729,10 @@ The {\tt -table} option can be used to create a truth table. For example:
 {\scriptsize
 \begin{verbatim}
    yosys [selstage]> eval -set-undef -set d[3:1] 0 -table s1,d[0]
-   
+
    10. Executing EVAL pass (evaluate the circuit given an input).
    Full command line: eval -set-undef -set d[3:1] 0 -table s1,d[0]
-   
+
      \s1 \d [0] |  \n1  \n2
     ---- ------ | ---- ----
     2'00    1'0 | 2'00 2'00
@@ -743,7 +743,7 @@ The {\tt -table} option can be used to create a truth table. For example:
     2'10    1'1 | 2'xx 2'10
     2'11    1'0 | 2'00 2'00
     2'11    1'1 | 2'xx 2'11
-   
+
    Assumend undef (x) value for the following singals: \s2
 \end{verbatim}
 }
@@ -780,11 +780,11 @@ Final proof equation: \ok = 1'1
 Solving problem with 2790 variables and 8241 clauses..
 SAT proof finished - model found: FAIL!
 
-   ______                   ___       ___       _ _            _ _ 
+   ______                   ___       ___       _ _            _ _
   (_____ \                 / __)     / __)     (_) |          | | |
    _____) )___ ___   ___ _| |__    _| |__ _____ _| | _____  __| | |
   |  ____/ ___) _ \ / _ (_   __)  (_   __|____ | | || ___ |/ _  |_|
-  | |   | |  | |_| | |_| || |       | |  / ___ | | || ____( (_| |_ 
+  | |   | |  | |_| | |_| || |       | |  / ___ | | || ____( (_| |_
   |_|   |_|   \___/ \___/ |_|       |_|  \_____|_|\_)_____)\____|_|
 
 
@@ -811,15 +811,15 @@ Final proof equation: \ok = 1'1
 Solving problem with 2790 variables and 8257 clauses..
 SAT proof finished - no model found: SUCCESS!
 
-                  /$$$$$$      /$$$$$$$$     /$$$$$$$    
-                 /$$__  $$    | $$_____/    | $$__  $$   
-                | $$  \ $$    | $$          | $$  \ $$   
-                | $$  | $$    | $$$$$       | $$  | $$   
-                | $$  | $$    | $$__/       | $$  | $$   
-                | $$/$$ $$    | $$          | $$  | $$   
+                  /$$$$$$      /$$$$$$$$     /$$$$$$$
+                 /$$__  $$    | $$_____/    | $$__  $$
+                | $$  \ $$    | $$          | $$  \ $$
+                | $$  | $$    | $$$$$       | $$  | $$
+                | $$  | $$    | $$__/       | $$  | $$
+                | $$/$$ $$    | $$          | $$  | $$
                 |  $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$
                  \____ $$$|__/|________/|__/|_______/|__/
-                       \__/                              
+                       \__/
 \end{lstlisting}
 \caption{Experiments with the miter circuit from Fig.~\ref{primetest}. The first attempt of proving that 31
 is prime failed because the SAT solver found a creative way of factorizing 31 using integer overflow.}
@@ -840,20 +840,20 @@ corresponding input values. For Example:
 {\scriptsize
 \begin{verbatim}
    yosys [selstage]> sat -show s1,s2,d -set s1 s2 -set n2,n1 4'b1001
-   
+
    11. Executing SAT pass (solving SAT problems in the circuit).
    Full command line: sat -show s1,s2,d -set s1 s2 -set n2,n1 4'b1001
-   
+
    Setting up SAT problem:
    Import set-constraint: \s1 = \s2
    Import set-constraint: { \n2 \n1 } = 4'1001
    Final constraint equation: { \n2 \n1 \s1 } = { 4'1001 \s2 }
    Imported 3 cells to SAT database.
    Import show expression: { \s1 \s2 \d }
-   
+
    Solving problem with 81 variables and 207 clauses..
    SAT solving finished - model found:
-   
+
      Signal Name                 Dec        Hex             Bin
      -------------------- ---------- ---------- ---------------
      \d                            9          9            1001
index 5a7c5b19c0a035cac3fdc34c099a889f100a2930..67f15bc264dd840aff9124bda31e182c716e82a7 100644 (file)
@@ -182,7 +182,7 @@ file:
 
 \begin{figure}[H]
 \begin{lstlisting}[language=sh,numbers=none]
-$ boolector fsm.btor 
+$ boolector fsm.btor
 unsat
 \end{lstlisting}
  \renewcommand{\figurename}{Listing}
@@ -204,16 +204,16 @@ executed by {\tt verilog2btor.sh}.
 
 \begin{figure}[H]
 \begin{lstlisting}[language=sh]
-read_verilog -sv $1; 
-hierarchy -top $3; hierarchy -libdir $DIR; 
-hierarchy -check; 
-proc; opt; 
+read_verilog -sv $1;
+hierarchy -top $3; hierarchy -libdir $DIR;
+hierarchy -check;
+proc; opt;
 opt_const -mux_undef; opt;
 rename -hide;;;
 splice; opt;
 memory_dff -wr_only; memory_collect;;
 flatten;;
-memory_unpack; 
+memory_unpack;
 splitnets -driver;
 setundef -zero -undriven;
 opt;;;
@@ -242,7 +242,7 @@ line:
   collecting the memories to multi-port memories.
 \item Flattening the design to get only one module.
 \item Separating read and write memories.
-\item Splitting the signals that are partially assigned 
+\item Splitting the signals that are partially assigned
 \item Setting undef to zero value.
 \item Final optimization pass.
 \item Writing BTOR file.
@@ -259,10 +259,10 @@ modified Yosys script file:
 
 \begin{figure}[H]
 \begin{lstlisting}[language=sh,numbers=none]
-read_verilog -sv $1; 
-hierarchy -top $3; hierarchy -libdir $DIR; 
-hierarchy -check; 
-proc; opt; 
+read_verilog -sv $1;
+hierarchy -top $3; hierarchy -libdir $DIR;
+hierarchy -check;
+proc; opt;
 opt_const -mux_undef; opt;
 rename -hide;;;
 splice; opt;
@@ -294,7 +294,7 @@ module array(input clk);
     mem[counter] <= counter;
   end
 
-  assert property (!(counter > 8'd0) || 
+  assert property (!(counter > 8'd0) ||
     mem[counter - 8'd1] == counter - 8'd1);
 
 endmodule
@@ -422,7 +422,7 @@ Robert Brummayer and Armin Biere and Florian Lonsing, BTOR:
 Bit-Precise Modelling of Word-Level Problems for Model Checking\\
 \url{http://fmv.jku.at/papers/BrummayerBiereLonsing-BPR08.pdf}
 
-\bibitem{nuxmv} 
+\bibitem{nuxmv}
 Roberto Cavada and Alessandro Cimatti and Michele Dorigatti and
 Alberto Griggio and Alessandro Mariotti and Andrea Micheli and Sergio
 Mover and Marco Roveri and Stefano Tonetta, The nuXmv Symbolic Model
index 2abfa85da85930ebdd7ffa915c3c9d314c02a990..6f03b79cfc82c0af50df15473dbde1152d1ce670 100644 (file)
@@ -5,7 +5,7 @@
 % \begin{fixme}
 % This appendix will cover some typical use-cases of Yosys in the form of application notes.
 % \end{fixme}
-% 
+%
 % \section{Synthesizing using a Cell Library in Liberty Format}
 % \section{Reverse Engeneering the MOS6502 from an NMOS Transistor Netlist}
 % \section{Reconfigurable Coarse-Grain Synthesis using Intersynth}
index c0eda0e84fa182227ac1ffefe2ea99d40b358902..2f7ea0d638e82491f137d6a392e8ab0bb4409a02 100644 (file)
@@ -56,8 +56,8 @@ and how they relate to different kinds of synthesis.
 Regardless of the way a lower level representation of a circuit is
 obtained (synthesis or manual design), the lower level representation is usually
 verified by comparing simulation results of the lower level and the higher level
-representation \footnote{In recent years formal equivalence 
-checking also became an important verification method for validating RTL and 
+representation \footnote{In recent years formal equivalence
+checking also became an important verification method for validating RTL and
 lower abstraction representation of the design.}.
 Therefore even if no synthesis is used, there must still be a simulatable
 representation of the circuit in all levels to allow for verification of the
@@ -270,7 +270,7 @@ signals.
 
 \subsection{Expressions in Verilog}
 
-In all situations where Verilog accepts a constant value or signal name, 
+In all situations where Verilog accepts a constant value or signal name,
 expressions using arithmetic operations such as
 \lstinline[language=Verilog]{+}, \lstinline[language=Verilog]{-} and \lstinline[language=Verilog]{*},
 boolean operations such as
@@ -470,7 +470,7 @@ optimizes the design. First of all because not all optimizations are applicable
 designs and all synthesis tasks. Some optimizations work (best) on a coarse-grained level
 (with complex cells such as adders or multipliers) and others work (best) on a fine-grained
 level (single bit gates). Some optimizations target area and others target speed.
-Some work well on large designs while others don't scale well and can only be applied 
+Some work well on large designs while others don't scale well and can only be applied
 to small designs.
 
 A good tool is capable of applying a wide range of optimizations at different
index f92eb52cf613c0035e6ccc6b3a3f901d3995e750..0f4f95ae595fb46acda680701c5e98761a01e217 100644 (file)
@@ -79,6 +79,6 @@ done
 #      if [ $luts -gt 0 -a $luts_ys -gt 0 ]; then luts_p=$(( 100*luts_ys / luts )); else luts_p=NaN; fi
 #      if [ $freq -gt 0 -a $freq_ys -gt 0 ]; then freq_p=$(( 100*freq_ys / freq )); else freq_p=NaN; fi
 #      printf '%-30s %3s %3s %3s\n' $mod $regs_p $luts_p $freq_p
-# 
+#
 # done
 
index f735d46b29010c87fb741596f9696745125cdcd5..76e5d847bdb24526dad8e7e6e6e9116f5a271892 100644 (file)
@@ -35,7 +35,7 @@ The proposed custom HDL synthesis tool should be licensed under a Free
 and Open Source Software (FOSS) licence. So an existing FOSS Verilog or VHDL
 synthesis tool would have been needed as basis to build upon. The main advantages
 of choosing Verilog or VHDL is the ability to synthesize existing HDL code and
-to mitigate the requirement for circuit-designers to learn a new language. In order to take full advantage of any existing FOSS Verilog or VHDL tool, 
+to mitigate the requirement for circuit-designers to learn a new language. In order to take full advantage of any existing FOSS Verilog or VHDL tool,
 such a tool would have to provide a feature-complete implementation of the
 synthesizable HDL subset.
 
@@ -68,7 +68,7 @@ problem of implementing a HDL synthesis tool is approached in the case of
 Yosys.
 
 Chapter~\ref{chapter:overview} contains a more detailed overview of the
-implementation of Yosys. This chapter covers the data structures used in 
+implementation of Yosys. This chapter covers the data structures used in
 Yosys to represent a design in detail and is therefore recommended reading
 for everyone who is interested in understanding the Yosys internals.
 
@@ -81,7 +81,7 @@ is recommended reading for everyone who actually wants to read or write
 Yosys source code. The chapter concludes with an example loadable module
 for Yosys.
 
-Chapters~\ref{chapter:verilog}, \ref{chapter:opt}, and \ref{chapter:techmap} 
+Chapters~\ref{chapter:verilog}, \ref{chapter:opt}, and \ref{chapter:techmap}
 cover three important pieces of the synthesis pipeline: The Verilog frontend,
 the optimization passes and the technology mapping to the target architecture,
 respectively.
index af8e2249775a755227ac7f57493ef11f4bb77503..58636a615bfc69523ab6b8710c76bf99ae3ea063 100644 (file)
@@ -241,7 +241,7 @@ by identifying the driver for the state signal.
 
 From there the {\tt \$mux}-tree driving the state register inputs is
 recursively traversed. All select inputs are control signals and the leaves of the
-{\tt \$mux}-tree are the states. The algorithm fails if a non-constant leaf 
+{\tt \$mux}-tree are the states. The algorithm fails if a non-constant leaf
 that is not the state signal itself is found.
 
 The list of control outputs is initialized with the bits from the state signal.
index ec402231ff07d92a925e1b52abf9134a34f1caaa..645acd2d464d5d83dc1e7cf5f26be1760b67e2bf 100644 (file)
@@ -307,11 +307,11 @@ process $proc$ff_with_en_and_async_reset.v:4$1
        switch \reset
                case 1'1
                        assign $0\q[0:0] 1'0
-               case 
+               case
                        switch \enable
                                case 1'1
                                        assign $0\q[0:0] \d
-                               case 
+                               case
                        end
        end
        sync posedge \clock
@@ -338,7 +338,7 @@ An RTLIL::CaseRule is a container for zero or more assignments (RTLIL::SigSig)
 and zero or more RTLIL::SwitchRule objects. An RTLIL::SwitchRule objects is a
 container for zero or more RTLIL::CaseRule objects.
 
-In the above example the lines $2 \dots 12$ are the root case. Here {\tt \$0\textbackslash{}q[0:0]} is first 
+In the above example the lines $2 \dots 12$ are the root case. Here {\tt \$0\textbackslash{}q[0:0]} is first
 assigned the old value {\tt \textbackslash{}q} as default value (line 2). The root case
 also contains an RTLIL::SwitchRule object (lines $3 \dots 12$). Such an object is very similar to the C {\tt switch}
 statement as it uses a control signal ({\tt \textbackslash{}reset} in this case) to determine
@@ -371,7 +371,7 @@ process $proc$ff_with_en_and_async_reset.v:4$1
        switch \enable
                case 1'1
                        assign $0\q[0:0] \d
-               case 
+               case
        end
        sync posedge \clock
                update \q $0\q[0:0]
@@ -449,7 +449,7 @@ See Sec.~\ref{sec:memcells} for details about the memory cell types.
 Yosys reads and processes commands from synthesis scripts, command line arguments and
 an interactive command prompt. Yosys commands consist of a command name and an optional
 whitespace separated list of arguments. Commands are terminated using the newline character
-or a semicolon ({\tt ;}). Empty lines and lines starting with the hash sign ({\tt \#}) are ignored. 
+or a semicolon ({\tt ;}). Empty lines and lines starting with the hash sign ({\tt \#}) are ignored.
 See Sec.~\ref{sec:typusecase} for an example synthesis script.
 
 The command {\tt help} can be used to access the command reference manual.
index 4849c6a7b7ccfcaff23fd84ce8c3092d3fb526fc..b2428a671658824604d98ccbb1be951166aaf7dd 100644 (file)
@@ -1,5 +1,5 @@
 // This is free and unencumbered software released into the public domain.
-// 
+//
 // Anyone is free to copy, modify, publish, use, compile, sell, or
 // distribute this software, either in source code form or as a compiled
 // binary, for any purpose, commercial or non-commercial, and by any
index fc82f13897a1fe1a5158632668172bd4e86488b5..7fb54fa4904be08f51dd53698e267b65575bb0fc 100644 (file)
@@ -1,4 +1,4 @@
-/* 
+/*
 Copyright (C) 2009-2010 Parvez Ahmad
 Written by Parvez Ahmad <parvez_ahmad@yahoo.co.uk>.
 
@@ -45,7 +45,7 @@ module AND3 #(parameter SIZE = 3) (input [SIZE-1:0] in, output out);
 assign out = &in;
 
 endmodule
-    
+
 module AND4 #(parameter SIZE = 4) (input [SIZE-1:0] in, output out);
 
 assign out = &in;
@@ -63,7 +63,7 @@ module OR3 #(parameter SIZE = 3) (input [SIZE-1:0] in, output out);
 assign out = |in;
 
 endmodule
-    
+
 module OR4 #(parameter SIZE = 4) (input [SIZE-1:0] in, output out);
 
 assign out = |in;
@@ -82,7 +82,7 @@ module NAND3 #(parameter SIZE = 3) (input [SIZE-1:0] in, output out);
 assign out = ~&in;
 
 endmodule
-    
+
 module NAND4 #(parameter SIZE = 4) (input [SIZE-1:0] in, output out);
 
 assign out = ~&in;
@@ -100,7 +100,7 @@ module NOR3 #(parameter SIZE = 3) (input [SIZE-1:0] in, output out);
 assign out = ~|in;
 
 endmodule
-    
+
 module NOR4 #(parameter SIZE = 4) (input [SIZE-1:0] in, output out);
 
 assign out = ~|in;
@@ -119,7 +119,7 @@ module XOR3 #(parameter SIZE = 3) (input [SIZE-1:0] in, output out);
 assign out = ^in;
 
 endmodule
-    
+
 module XOR4 #(parameter SIZE = 4) (input [SIZE-1:0] in, output out);
 
 assign out = ^in;
@@ -138,7 +138,7 @@ module XNOR3 #(parameter SIZE = 3) (input [SIZE-1:0] in, output out);
 assign out = ~^in;
 
 endmodule
-    
+
 module XNOR4 #(parameter SIZE = 4) (input [SIZE-1:0] in, output out);
 
 assign out = ~^in;
@@ -156,7 +156,7 @@ always @(in or enable)
               1'b1 : out = 2'b10;
            endcase
        end
-endmodule      
+endmodule
 
 module DEC2 (input [1:0] in, input enable, output reg [3:0] out);
 
@@ -171,7 +171,7 @@ always @(in or enable)
               2'b11 : out = 4'b1000;
            endcase
        end
-endmodule      
+endmodule
 
 module DEC3 (input [2:0] in, input enable, output reg [7:0] out);
 
@@ -190,7 +190,7 @@ always @(in or enable)
               3'b111 : out = 8'b10000000;
            endcase
        end
-endmodule      
+endmodule
 
 module DEC4 (input [3:0] in, input enable, output reg [15:0] out);
 
@@ -217,7 +217,7 @@ always @(in or enable)
               4'b1111 : out = 16'b1000000000000000;
            endcase
        end
-endmodule      
+endmodule
 module DEC5 (input [4:0] in, input enable, output reg [31:0] out);
 
 always @(in or enable)
@@ -259,7 +259,7 @@ always @(in or enable)
               5'b11111 : out = 32'b10000000000000000000000000000000;
            endcase
        end
-endmodule      
+endmodule
 
 module DEC6 (input [5:0] in, input enable, output reg [63:0] out);
 
@@ -335,7 +335,7 @@ always @(in or enable)
               6'b111111 : out = 64'b1000000000000000000000000000000000000000000000000000000000000000;
            endcase
        end
-endmodule      
+endmodule
 
 
 module MUX2(input [1:0] in, input select, output reg out);
@@ -345,7 +345,7 @@ always @( in or select)
            0: out = in[0];
            1: out = in[1];
        endcase
-endmodule      
+endmodule
 
 
 module MUX4(input [3:0] in, input [1:0] select, output reg out);
@@ -357,7 +357,7 @@ always @( in or select)
            2: out = in[2];
            3: out = in[3];
        endcase
-endmodule      
+endmodule
 
 
 module MUX8(input [7:0] in, input [2:0] select, output reg out);
@@ -373,7 +373,7 @@ always @( in or select)
            6: out = in[6];
            7: out = in[7];
        endcase
-endmodule      
+endmodule
 
 module MUX16(input [15:0] in, input [3:0] select, output reg out);
 
@@ -396,7 +396,7 @@ always @( in or select)
            14: out = in[14];
            15: out = in[15];
        endcase
-endmodule      
+endmodule
 
 module MUX32(input [31:0] in, input [4:0] select, output reg out);
 
@@ -435,7 +435,7 @@ always @( in or select)
            30: out = in[30];
            31: out = in[31];
        endcase
-endmodule      
+endmodule
 
 module MUX64(input [63:0] in, input [5:0] select, output reg out);
 
@@ -506,7 +506,7 @@ always @( in or select)
            62: out = in[62];
            63: out = in[63];
        endcase
-endmodule      
+endmodule
 
 module ADD1(input in1, in2, cin, output out, cout);
 
@@ -514,41 +514,41 @@ assign {cout, out} = in1 + in2 + cin;
 
 endmodule
 
-module ADD2 #(parameter SIZE = 2)(input [SIZE-1:0] in1, in2, 
+module ADD2 #(parameter SIZE = 2)(input [SIZE-1:0] in1, in2,
     input cin, output [SIZE-1:0] out, output cout);
 
 assign {cout, out} = in1 + in2 + cin;
 
 endmodule
 
-module ADD4 #(parameter SIZE = 4)(input [SIZE-1:0] in1, in2, 
+module ADD4 #(parameter SIZE = 4)(input [SIZE-1:0] in1, in2,
     input cin, output [SIZE-1:0] out, output cout);
 
 assign {cout, out} = in1 + in2 + cin;
 
 endmodule
 
-module ADD8 #(parameter SIZE = 8)(input [SIZE-1:0] in1, in2, 
+module ADD8 #(parameter SIZE = 8)(input [SIZE-1:0] in1, in2,
     input cin, output [SIZE-1:0] out, output cout);
 
 assign {cout, out} = in1 + in2 + cin;
 
 endmodule
 
-module ADD16 #(parameter SIZE = 16)(input [SIZE-1:0] in1, in2, 
+module ADD16 #(parameter SIZE = 16)(input [SIZE-1:0] in1, in2,
     input cin, output [SIZE-1:0] out, output cout);
 
 assign {cout, out} = in1 + in2 + cin;
 
 endmodule
 
-module ADD32 #(parameter SIZE = 32)(input [SIZE-1:0] in1, in2, 
+module ADD32 #(parameter SIZE = 32)(input [SIZE-1:0] in1, in2,
     input cin, output [SIZE-1:0] out, output cout);
 
 assign {cout, out} = in1 + in2 + cin;
 
 endmodule
-module ADD64 #(parameter SIZE = 64)(input [SIZE-1:0] in1, in2, 
+module ADD64 #(parameter SIZE = 64)(input [SIZE-1:0] in1, in2,
     input cin, output [SIZE-1:0] out, output cout);
 
 assign {cout, out} = in1 + in2 + cin;
@@ -561,41 +561,41 @@ assign {cout, out} = in1 - in2 - cin;
 
 endmodule
 
-module SUB2 #(parameter SIZE = 2)(input [SIZE-1:0] in1, in2, 
+module SUB2 #(parameter SIZE = 2)(input [SIZE-1:0] in1, in2,
     input cin, output [SIZE-1:0] out, output cout);
 
 assign {cout, out} = in1 - in2 - cin;
 
 endmodule
 
-module SUB4 #(parameter SIZE = 4)(input [SIZE-1:0] in1, in2, 
+module SUB4 #(parameter SIZE = 4)(input [SIZE-1:0] in1, in2,
     input cin, output [SIZE-1:0] out, output cout);
 
 assign {cout, out} = in1 - in2 - cin;
 
 endmodule
 
-module SUB8 #(parameter SIZE = 8)(input [SIZE-1:0] in1, in2, 
+module SUB8 #(parameter SIZE = 8)(input [SIZE-1:0] in1, in2,
     input cin, output [SIZE-1:0] out, output cout);
 
 assign {cout, out} = in1 - in2 - cin;
 
 endmodule
 
-module SUB16 #(parameter SIZE = 16)(input [SIZE-1:0] in1, in2, 
+module SUB16 #(parameter SIZE = 16)(input [SIZE-1:0] in1, in2,
     input cin, output [SIZE-1:0] out, output cout);
 
 assign {cout, out} = in1 - in2 - cin;
 
 endmodule
 
-module SUB32 #(parameter SIZE = 32)(input [SIZE-1:0] in1, in2, 
+module SUB32 #(parameter SIZE = 32)(input [SIZE-1:0] in1, in2,
     input cin, output [SIZE-1:0] out, output cout);
 
 assign {cout, out} = in1 - in2 - cin;
 
 endmodule
-module SUB64 #(parameter SIZE = 64)(input [SIZE-1:0] in1, in2, 
+module SUB64 #(parameter SIZE = 64)(input [SIZE-1:0] in1, in2,
     input cin, output [SIZE-1:0] out, output cout);
 
 assign {cout, out} = in1 - in2 - cin;
@@ -651,7 +651,7 @@ assign rem = in1%in2;
 
 endmodule
 
-module DIV2 #(parameter SIZE = 2)(input [SIZE-1:0] in1, in2, 
+module DIV2 #(parameter SIZE = 2)(input [SIZE-1:0] in1, in2,
     output [SIZE-1:0] out, rem);
 
 assign out = in1/in2;
@@ -659,7 +659,7 @@ assign rem = in1%in2;
 
 endmodule
 
-module DIV4 #(parameter SIZE = 4)(input [SIZE-1:0] in1, in2, 
+module DIV4 #(parameter SIZE = 4)(input [SIZE-1:0] in1, in2,
     output [SIZE-1:0] out, rem);
 
 assign out = in1/in2;
@@ -667,7 +667,7 @@ assign rem = in1%in2;
 
 endmodule
 
-module DIV8 #(parameter SIZE = 8)(input [SIZE-1:0] in1, in2, 
+module DIV8 #(parameter SIZE = 8)(input [SIZE-1:0] in1, in2,
     output [SIZE-1:0] out, rem);
 
 assign out = in1/in2;
@@ -675,7 +675,7 @@ assign rem = in1%in2;
 
 endmodule
 
-module DIV16 #(parameter SIZE = 16)(input [SIZE-1:0] in1, in2, 
+module DIV16 #(parameter SIZE = 16)(input [SIZE-1:0] in1, in2,
     output [SIZE-1:0] out, rem);
 
 assign out = in1/in2;
@@ -683,7 +683,7 @@ assign rem = in1%in2;
 
 endmodule
 
-module DIV32 #(parameter SIZE = 32)(input [SIZE-1:0] in1, in2, 
+module DIV32 #(parameter SIZE = 32)(input [SIZE-1:0] in1, in2,
     output [SIZE-1:0] out, rem);
 
 assign out = in1/in2;
@@ -691,7 +691,7 @@ assign rem = in1%in2;
 
 endmodule
 
-module DIV64 #(parameter SIZE = 64)(input [SIZE-1:0] in1, in2, 
+module DIV64 #(parameter SIZE = 64)(input [SIZE-1:0] in1, in2,
     output [SIZE-1:0] out, rem);
 
 assign out = in1/in2;
@@ -711,7 +711,7 @@ always @(posedge clk or posedge reset)
            q <= 0;
        else
            q <= d;
-endmodule              
+endmodule
 
 module SFF(input d, clk, set, output reg q);
 always @(posedge clk or posedge set)
@@ -719,7 +719,7 @@ always @(posedge clk or posedge set)
            q <= 1;
        else
            q <= d;
-endmodule              
+endmodule
 
 module RSFF(input d, clk, set, reset, output reg q);
 always @(posedge clk or posedge reset or posedge set)
@@ -745,30 +745,30 @@ module LATCH(input d, enable, output reg q);
 always @( d or enable)
     if(enable)
            q <= d;
-endmodule              
+endmodule
 
 module RLATCH(input d, reset, enable, output reg q);
 always @( d or enable or reset)
     if(enable)
            if(reset)
                    q <= 0;
-               else    
+               else
                q <= d;
-endmodule              
+endmodule
 
 module LSHIFT1 #(parameter SIZE = 1)(input in, shift, val, output reg out);
 
 always @ (in, shift, val) begin
     if(shift)
            out = val;
-       else 
+       else
            out = in;
 end
 
 endmodule
 
 
-module LSHIFT2 #(parameter SIZE = 2)(input [SIZE-1:0] in, 
+module LSHIFT2 #(parameter SIZE = 2)(input [SIZE-1:0] in,
     input [SIZE-1:0] shift, input val,
     output reg [SIZE-1:0] out);
 
@@ -776,58 +776,58 @@ always @(in or shift or val) begin
     out = in << shift;
        if(val)
            out = out | ({SIZE-1 {1'b1} } >> (SIZE-1-shift));
-end    
+end
 endmodule
 
-module LSHIFT4 #(parameter SIZE = 4)(input [SIZE-1:0] in, 
+module LSHIFT4 #(parameter SIZE = 4)(input [SIZE-1:0] in,
     input [2:0] shift, input val, output reg [SIZE-1:0] out);
 
 always @(in or shift or val) begin
     out = in << shift;
        if(val)
            out = out | ({SIZE-1 {1'b1} } >> (SIZE-1-shift));
-end    
+end
 endmodule
 
 
-module LSHIFT8 #(parameter SIZE = 8)(input [SIZE-1:0] in, 
+module LSHIFT8 #(parameter SIZE = 8)(input [SIZE-1:0] in,
     input [3:0] shift, input val, output reg [SIZE-1:0] out);
 
 always @(in or shift or val) begin
     out = in << shift;
        if(val)
            out = out | ({SIZE-1 {1'b1} } >> (SIZE-1-shift));
-end    
+end
 endmodule
 
-module LSHIFT16 #(parameter SIZE = 16)(input [SIZE-1:0] in, 
+module LSHIFT16 #(parameter SIZE = 16)(input [SIZE-1:0] in,
     input [4:0] shift, input val, output reg [SIZE-1:0] out);
 
 always @(in or shift or val) begin
     out = in << shift;
        if(val)
            out = out | ({SIZE-1 {1'b1} } >> (SIZE-1-shift));
-end    
+end
 endmodule
 
-module LSHIFT32 #(parameter SIZE = 32)(input [SIZE-1:0] in, 
+module LSHIFT32 #(parameter SIZE = 32)(input [SIZE-1:0] in,
     input [5:0] shift, input val, output reg [SIZE-1:0] out);
 
 always @(in or shift or val) begin
     out = in << shift;
        if(val)
            out = out | ({SIZE-1 {1'b1} } >> (SIZE-1-shift));
-end    
+end
 endmodule
 
-module LSHIFT64 #(parameter SIZE = 64)(input [SIZE-1:0] in, 
+module LSHIFT64 #(parameter SIZE = 64)(input [SIZE-1:0] in,
     input [6:0] shift, input val, output reg [SIZE-1:0] out);
 
 always @(in or shift or val) begin
     out = in << shift;
        if(val)
            out = out | ({SIZE-1 {1'b1} } >> (SIZE-1-shift));
-end    
+end
 endmodule
 
 module RSHIFT1 #(parameter SIZE = 1)(input in, shift, val, output reg out);
@@ -841,7 +841,7 @@ end
 
 endmodule
 
-module RSHIFT2 #(parameter SIZE = 2)(input [SIZE-1:0] in, 
+module RSHIFT2 #(parameter SIZE = 2)(input [SIZE-1:0] in,
     input [SIZE-1:0] shift, input val,
     output reg [SIZE-1:0] out);
 
@@ -849,12 +849,12 @@ always @(in or shift or val) begin
     out = in >> shift;
        if(val)
            out = out | ({SIZE-1 {1'b1} } << (SIZE-1-shift));
-end    
+end
 
 endmodule
 
 
-module RSHIFT4 #(parameter SIZE = 4)(input [SIZE-1:0] in, 
+module RSHIFT4 #(parameter SIZE = 4)(input [SIZE-1:0] in,
     input [2:0] shift, input val,
     output reg [SIZE-1:0] out);
 
@@ -862,10 +862,10 @@ always @(in or shift or val) begin
     out = in >> shift;
        if(val)
            out = out | ({SIZE-1 {1'b1} } << (SIZE-1-shift));
-end    
+end
 endmodule
 
-module RSHIFT8 #(parameter SIZE = 8)(input [SIZE-1:0] in, 
+module RSHIFT8 #(parameter SIZE = 8)(input [SIZE-1:0] in,
     input [3:0] shift, input val,
     output reg [SIZE-1:0] out);
 
@@ -873,11 +873,11 @@ always @(in or shift or val) begin
     out = in >> shift;
        if(val)
            out = out | ({SIZE-1 {1'b1} } << (SIZE-1-shift));
-end    
+end
 
 endmodule
 
-module RSHIFT16 #(parameter SIZE = 16)(input [SIZE-1:0] in, 
+module RSHIFT16 #(parameter SIZE = 16)(input [SIZE-1:0] in,
     input [4:0] shift, input val,
     output reg [SIZE-1:0] out);
 
@@ -885,11 +885,11 @@ always @(in or shift or val) begin
     out = in >> shift;
        if(val)
            out = out | ({SIZE-1 {1'b1} } << (SIZE-1-shift));
-end    
+end
 endmodule
 
 
-module RSHIFT32 #(parameter SIZE = 32)(input [SIZE-1:0] in, 
+module RSHIFT32 #(parameter SIZE = 32)(input [SIZE-1:0] in,
     input [5:0] shift, input val,
     output reg [SIZE-1:0] out);
 
@@ -897,10 +897,10 @@ always @(in or shift or val) begin
     out = in >> shift;
        if(val)
            out = out | ({SIZE-1 {1'b1} } << (SIZE-1-shift));
-end    
+end
 endmodule
 
-module RSHIFT64 #(parameter SIZE = 64)(input [SIZE-1:0] in, 
+module RSHIFT64 #(parameter SIZE = 64)(input [SIZE-1:0] in,
     input [6:0] shift, input val,
     output reg [SIZE-1:0] out);
 
@@ -908,10 +908,10 @@ always @(in or shift or val) begin
     out = in >> shift;
        if(val)
            out = out | ({SIZE-1 {1'b1} } << (SIZE-1-shift));
-end    
+end
 endmodule
 
-module CMP1 #(parameter SIZE = 1) (input in1, in2, 
+module CMP1 #(parameter SIZE = 1) (input in1, in2,
     output reg equal, unequal, greater, lesser);
 
 always @ (in1 or in2) begin
@@ -920,7 +920,7 @@ always @ (in1 or in2) begin
                unequal = 0;
                greater = 0;
                lesser = 0;
-       end     
+       end
        else begin
            equal = 0;
                unequal = 1;
@@ -928,17 +928,17 @@ always @ (in1 or in2) begin
            if(in1 < in2) begin
                    greater = 0;
                    lesser = 1;
-           end 
+           end
            else begin
                    greater = 1;
                    lesser = 0;
-           end 
-       end     
+           end
+       end
 end
 endmodule
 
 
-module CMP2 #(parameter SIZE = 2) (input [SIZE-1:0] in1, in2, 
+module CMP2 #(parameter SIZE = 2) (input [SIZE-1:0] in1, in2,
     output reg equal, unequal, greater, lesser);
 
 always @ (in1 or in2) begin
@@ -947,7 +947,7 @@ always @ (in1 or in2) begin
                unequal = 0;
                greater = 0;
                lesser = 0;
-       end     
+       end
        else begin
            equal = 0;
                unequal = 1;
@@ -955,16 +955,16 @@ always @ (in1 or in2) begin
            if(in1 < in2) begin
                    greater = 0;
                    lesser = 1;
-           end 
+           end
            else begin
                    greater = 1;
                    lesser = 0;
-           end 
-       end     
+           end
+       end
 end
 endmodule
 
-module CMP4 #(parameter SIZE = 4) (input [SIZE-1:0] in1, in2, 
+module CMP4 #(parameter SIZE = 4) (input [SIZE-1:0] in1, in2,
     output reg equal, unequal, greater, lesser);
 
 always @ (in1 or in2) begin
@@ -973,7 +973,7 @@ always @ (in1 or in2) begin
                unequal = 0;
                greater = 0;
                lesser = 0;
-       end     
+       end
        else begin
            equal = 0;
                unequal = 1;
@@ -981,16 +981,16 @@ always @ (in1 or in2) begin
            if(in1 < in2) begin
                    greater = 0;
                    lesser = 1;
-           end 
+           end
            else begin
                    greater = 1;
                    lesser = 0;
-           end 
-       end     
+           end
+       end
 end
 endmodule
 
-module CMP8 #(parameter SIZE = 8) (input [SIZE-1:0] in1, in2, 
+module CMP8 #(parameter SIZE = 8) (input [SIZE-1:0] in1, in2,
     output reg equal, unequal, greater, lesser);
 
 always @ (in1 or in2) begin
@@ -999,7 +999,7 @@ always @ (in1 or in2) begin
                unequal = 0;
                greater = 0;
                lesser = 0;
-       end     
+       end
        else begin
            equal = 0;
                unequal = 1;
@@ -1007,16 +1007,16 @@ always @ (in1 or in2) begin
            if(in1 < in2) begin
                    greater = 0;
                    lesser = 1;
-           end 
+           end
            else begin
                    greater = 1;
                    lesser = 0;
-           end 
-       end     
+           end
+       end
 end
 endmodule
 
-module CMP16 #(parameter SIZE = 16) (input [SIZE-1:0] in1, in2, 
+module CMP16 #(parameter SIZE = 16) (input [SIZE-1:0] in1, in2,
     output reg equal, unequal, greater, lesser);
 
 always @ (in1 or in2) begin
@@ -1025,7 +1025,7 @@ always @ (in1 or in2) begin
                unequal = 0;
                greater = 0;
                lesser = 0;
-       end     
+       end
        else begin
            equal = 0;
                unequal = 1;
@@ -1033,16 +1033,16 @@ always @ (in1 or in2) begin
            if(in1 < in2) begin
                    greater = 0;
                    lesser = 1;
-           end 
+           end
            else begin
                    greater = 1;
                    lesser = 0;
-           end 
-       end     
+           end
+       end
 end
 endmodule
 
-module CMP32 #(parameter SIZE = 32) (input [SIZE-1:0] in1, in2, 
+module CMP32 #(parameter SIZE = 32) (input [SIZE-1:0] in1, in2,
     output reg equal, unequal, greater, lesser);
 
 always @ (in1 or in2) begin
@@ -1051,7 +1051,7 @@ always @ (in1 or in2) begin
                unequal = 0;
                greater = 0;
                lesser = 0;
-       end     
+       end
        else begin
            equal = 0;
                unequal = 1;
@@ -1059,16 +1059,16 @@ always @ (in1 or in2) begin
            if(in1 < in2) begin
                    greater = 0;
                    lesser = 1;
-           end 
+           end
            else begin
                    greater = 1;
                    lesser = 0;
-           end 
-       end     
+           end
+       end
 end
 endmodule
 
-module CMP64 #(parameter SIZE = 64) (input [SIZE-1:0] in1, in2, 
+module CMP64 #(parameter SIZE = 64) (input [SIZE-1:0] in1, in2,
     output reg equal, unequal, greater, lesser);
 
 always @ (in1 or in2) begin
@@ -1077,7 +1077,7 @@ always @ (in1 or in2) begin
                unequal = 0;
                greater = 0;
                lesser = 0;
-       end     
+       end
        else begin
            equal = 0;
                unequal = 1;
@@ -1085,12 +1085,12 @@ always @ (in1 or in2) begin
            if(in1 < in2) begin
                    greater = 0;
                    lesser = 1;
-           end 
+           end
            else begin
                    greater = 1;
                    lesser = 0;
-           end 
-       end     
+           end
+       end
 end
 endmodule
 
index 54c07661412728d4f9bbb1ada1f3a464e139f248..800cf822df5ad20063fb4b5a0e3fb1703dcfb5af 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 485b4f357acaa70c6b1e0c1eb60ef7a20b0a9086..c2249d1f23e0faae1e0b2029eceb0048d6f8d244 100644 (file)
@@ -550,23 +550,23 @@ process $proc$<input>:1$1
   switch \in2
     case 1'1
       assign $1\out1[0:0] $logic_not$<input>:4$2_Y
-    case 
+    case
       assign $1\out1[0:0] \in1
   end
   switch \in3
     case 1'1
       assign $0\out2[0:0] \out2
-    case 
+    case
   end
   switch \in4
     case 1'1
       switch \in5
         case 1'1
           assign $0\out3[0:0] \in6
-        case 
+        case
           assign $0\out3[0:0] \in7
       end
-    case 
+    case
   end
   sync posedge \clock
     update \out1 $0\out1[0:0]
index 7435009183ae3b5e2ae35fbe30a954ef6e201a67..40b0802dad2d285964a39382c13d399ab1d5b50a 100644 (file)
@@ -844,13 +844,13 @@ module adff2dff (CLK, ARST, D, Q);
     parameter CLK_POLARITY = 1;
     parameter ARST_POLARITY = 1;
     parameter ARST_VALUE = 0;
-    
+
     input CLK, ARST;
     input [WIDTH-1:0] D;
     output reg [WIDTH-1:0] Q;
-    
+
     wire [1023:0] _TECHMAP_DO_ = "proc";
-    
+
     wire _TECHMAP_FAIL_ = !CLK_POLARITY || !ARST_POLARITY;
 \end{lstlisting}
 \vss}
index b6d91b01bcc290d0c2203c583ee93c73155a920e..13ecf0bae698c4149a55e4018466e63a90517c12 100644 (file)
@@ -4,17 +4,17 @@ module \$add (A, B, Y);
   parameter A_WIDTH = 1;
   parameter B_WIDTH = 1;
   parameter Y_WIDTH = 1;
-  
+
   input [A_WIDTH-1:0] A;
   input [B_WIDTH-1:0] B;
   output [Y_WIDTH-1:0] Y;
-  
+
   parameter _TECHMAP_BITS_CONNMAP_ = 0;
   parameter _TECHMAP_CONNMAP_A_ = 0;
   parameter _TECHMAP_CONNMAP_B_ = 0;
-  
+
   wire _TECHMAP_FAIL_ = A_WIDTH != B_WIDTH || B_WIDTH < Y_WIDTH ||
                         _TECHMAP_CONNMAP_A_ != _TECHMAP_CONNMAP_B_;
-  
+
   assign Y = A << 1;
 endmodule
index 24ca9dab474c8dff596b2def210739ae6917978d..8c37b1dba43ab6097aabb47aa890c05e435c2393 100644 (file)
@@ -3,10 +3,10 @@ module \$reduce_or (A, Y);
     parameter A_SIGNED = 0;
     parameter A_WIDTH = 0;
     parameter Y_WIDTH = 0;
-    
+
     input [A_WIDTH-1:0] A;
     output [Y_WIDTH-1:0] Y;
-    
+
     function integer min;
         input integer a, b;
         begin
@@ -16,7 +16,7 @@ module \$reduce_or (A, Y);
                 min = b;
         end
     endfunction
-    
+
     genvar i;
     generate begin
         if (A_WIDTH == 0) begin
index 293c5b841f7e0448e8531f8f2fb35bf385701f00..b4dbd9e07cd53f6b9917fe49fef33e1aca1e2a7e 100644 (file)
@@ -4,12 +4,12 @@ module \$mul (A, B, Y);
     parameter A_WIDTH = 1;
     parameter B_WIDTH = 1;
     parameter Y_WIDTH = 1;
-    
+
     input [A_WIDTH-1:0] A;
     input [B_WIDTH-1:0] B;
     output [Y_WIDTH-1:0] Y;
-    
+
     wire _TECHMAP_FAIL_ = A_WIDTH != B_WIDTH || B_WIDTH != Y_WIDTH;
-    
+
     MYMUL #( .WIDTH(Y_WIDTH) ) g ( .A(A), .B(B), .Y(Y) );
 endmodule
index f86dcd7acaaecbb370791aa0b1cb8933d4cca026..6bc44c5cfbc219cb735420548cd97d2595d54a0a 100644 (file)
@@ -33,7 +33,7 @@ as {\tt \%ci} and {\tt \%co}, can be used to figure out how parts of the design
 are connected.
 
 \item
-Commands such as {\tt submod}, {\tt expose}, {\tt splice}, \dots can be used 
+Commands such as {\tt submod}, {\tt expose}, {\tt splice}, \dots can be used
 to transform the design into an equivialent design that is easier to analyse.
 
 \item
@@ -115,7 +115,7 @@ The {\tt sat} command in Yosys can be used to perform Symbolic Model Checking.
 \end{frame}
 
 \begin{frame}[t]{Example: Formal Equivalence Checking (1/2)}
-Remember the following example? 
+Remember the following example?
 \vskip1em
 
 \vbox to 0cm{
index b7d6b8a6d51d6d8d9633ab6984cba3711425535d..1230f32a9b7b1406a30a8ce3b5ac67df44a6c0b4 100644 (file)
@@ -22,7 +22,7 @@
 \item Convert remaining logic to bit-level logic functions
 \item Perform optimizations on bit-level logic functions
 \item Map bit-level logic gates and registers to cell library
-\item Write results to output file 
+\item Write results to output file
 \end{itemize}
 \end{frame}
 
index 8b3390ed42bca614f29b05c240cf750e9ca33d4a..cc4e7cd319990757ac192007cab0cbe30efe0097 100644 (file)
@@ -1,4 +1,4 @@
-# read design 
+# read design
 read_verilog counter.v
 hierarchy -check -top counter
 
index 96189e55f3f8e3d0119470b042068567b0a750fc..97ec76fe8432350806a108550a9513440960c9b5 100644 (file)
@@ -325,7 +325,7 @@ Simulation models (i.e. {\it documentation\/} :-) for the internal cell library:
 
 \bigskip
 The lower-case cell types (such as {\tt \$and}) are parameterized cells of variable
-width. This so-called {\it RTL Cells\/} are the cells described in {\tt simlib.v}. 
+width. This so-called {\it RTL Cells\/} are the cells described in {\tt simlib.v}.
 
 \bigskip
 The upper-case cell types (such as {\tt \$\_AND\_}) are single-bit cells that are not
index d653f4093a9382e86f058ba146922b46dc3d87be..9e542f7785cb640b902e2ead307b3fcbcf104cb9 100644 (file)
@@ -2988,7 +2988,7 @@ from non-zero to zero in the test design.
 Write the current design to an SPICE netlist file.
 
     -big_endian
-        generate multi-bit ports in MSB first order 
+        generate multi-bit ports in MSB first order
         (default is LSB first)
 
     -neg net_name
index 65ed30493adb83fe7b3c6ff8ddc58a32c2e10c22..9723386f9902133b39588f6d16d1c36353e80a02 100644 (file)
@@ -67,7 +67,7 @@ var YosysJS = new function() {
                if (reference_element) {
                        if (reference_element.tagName == 'textarea')
                                ys.init_script = reference_element.value;
-               
+
                        if (reference_element.tagName == 'iframe') {
                                ys.iframe_element = reference_element;
                        } else {
index 054cfc1cb6571ed81f795e2b09e78b238ae91519..e698926f9b89978ca3d88fd5656a71b08dff5ffb 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -150,5 +150,5 @@ struct AddPass : public Pass {
                }
        }
 } AddPass;
+
 PRIVATE_NAMESPACE_END
index bb8fe78eaee75eb591c4a1a19106b5d2b508c179..0578124305c7142bfa8f06b6b95296ad6dec030b 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -150,5 +150,5 @@ struct CheckPass : public Pass {
                        log_error("Found %d problems in 'check -assert'.\n", counter);
        }
 } CheckPass;
+
 PRIVATE_NAMESPACE_END
index e17c1b1c336bafdaaf2bc996ef407b88a21f3ec5..e09d636fdb7f024a2bd544668aff51e33d284eef 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -185,5 +185,5 @@ struct ConnectPass : public Pass {
                        log_cmd_error("Expected -set, -unset, or -port.\n");
        }
 } ConnectPass;
+
 PRIVATE_NAMESPACE_END
index a65a63644d38492f397e25c63c1823b1aed9b680..1c66fb81d0fc269149ac5ff673227a8d1ce755ec 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -205,5 +205,5 @@ struct ConnwrappersPass : public Pass {
                                worker.work(design, mod_it.second);
        }
 } ConnwrappersPass;
+
 PRIVATE_NAMESPACE_END
index 459e5b0e7ca48a2dde5c8b491cd2027763028acf..fb863512b737a2f9a0627fc09f175eba5675c8de 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -55,5 +55,5 @@ struct CopyPass : public Pass {
                design->add(new_mod);
        }
 } CopyPass;
+
 PRIVATE_NAMESPACE_END
index b4362887eade640d1e836f1e112cfcd747b26b94..6d51d30e755a09c07b167d717537d6e490b6472d 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -140,5 +140,5 @@ struct DeletePass : public Pass {
                }
        }
 } DeletePass;
+
 PRIVATE_NAMESPACE_END
index 9f800c31f5ffd0f2fb150585f11ebfdcefca51d5..16a4e64ae7d42cbde4a2a3593acd0a21afc4b35d 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 81e532590d31b98420b277ee64a4319a5da7484d..6a002869be83437601eef4c2481ae7227897883d 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -228,5 +228,5 @@ struct RenamePass : public Pass {
                }
        }
 } RenamePass;
+
 PRIVATE_NAMESPACE_END
index 1cd55ecb0e2ab64c5a13a158ac40290c72a18af3..f083e1f67fd03fddac5161183d56fd7592fc9b27 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -69,5 +69,5 @@ struct ScatterPass : public Pass {
                }
        }
 } ScatterPass;
+
 PRIVATE_NAMESPACE_END
index f4eeac07383b9983865a1882b22c20cc741be71d..4acb5aef5f06adbf1487ad4efd836810682f1ba4 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -340,5 +340,5 @@ struct SccPass : public Pass {
                }
        }
 } SccPass;
+
 PRIVATE_NAMESPACE_END
index 9763ef600a3f19a123ae6626d7c3660d22709a40..6ceba2962a692cab03f1b81a2676087c52c145e1 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -564,7 +564,7 @@ static void select_filter_active_mod(RTLIL::Design *design, RTLIL::Selection &se
 {
        if (design->selected_active_module.empty())
                return;
-       
+
        if (sel.full_selection) {
                sel.full_selection = false;
                sel.selected_modules.clear();
@@ -733,7 +733,7 @@ static void select_stmt(RTLIL::Design *design, std::string arg)
                select_filter_active_mod(design, work_stack.back());
                return;
        }
-       
+
        sel.full_selection = false;
        for (auto &mod_it : design->modules_)
        {
@@ -1386,7 +1386,7 @@ struct SelectPass : public Pass {
                design->selection_stack.back().optimize(design);
        }
 } SelectPass;
+
 struct CdPass : public Pass {
        CdPass() : Pass("cd", "a shortcut for 'select -module <name>'") { }
        virtual void help()
@@ -1458,7 +1458,7 @@ static void log_matches(const char *title, Module *module, T list)
                        log("  %s\n", RTLIL::id2cstr(id));
        }
 }
+
 struct LsPass : public Pass {
        LsPass() : Pass("ls", "list modules or objects in modules") { }
        virtual void help()
@@ -1502,5 +1502,5 @@ struct LsPass : public Pass {
                }
        }
 } LsPass;
+
 PRIVATE_NAMESPACE_END
index 58fcf028f53ae47bab6dfcfff321bdcdfb458ddc..75c738b6d844fb4cab5609bdcea5daa92c892ae4 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -127,7 +127,7 @@ struct SetattrPass : public Pass {
                }
        }
 } SetattrPass;
+
 struct SetparamPass : public Pass {
        SetparamPass() : Pass("setparam", "set/unset parameters on objects") { }
        virtual void help()
@@ -175,7 +175,7 @@ struct SetparamPass : public Pass {
                }
        }
 } SetparamPass;
+
 struct ChparamPass : public Pass {
        ChparamPass() : Pass("chparam", "re-evaluate modules with new parameters") { }
        virtual void help()
@@ -251,5 +251,5 @@ struct ChparamPass : public Pass {
                }
        }
 } ChparamPass;
+
 PRIVATE_NAMESPACE_END
index b9a29b7d2a6a3ac120b9a0eefe428d2b955cb65a..9ca2e8745c46bc3695a06e2e29845da244082e40 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -155,5 +155,5 @@ struct SetundefPass : public Pass {
                }
        }
 } SetundefPass;
+
 PRIVATE_NAMESPACE_END
index 0bcc768934f2262af3c63aacdcd45900a5ed438a..28e3decda6f5a7f4930e38f704c3960d97a21770 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -845,5 +845,5 @@ struct ShowPass : public Pass {
                log_pop();
        }
 } ShowPass;
+
 PRIVATE_NAMESPACE_END
index 933b72c6edf1f881e93468dd70c613e7cca83138..e56699f40f565c22c39d1bd69b90849dd2f5f60f 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -365,5 +365,5 @@ struct SplicePass : public Pass {
                }
        }
 } SplicePass;
+
 PRIVATE_NAMESPACE_END
index d22d650005e86c2a0e907854c42e2e5458a991ee..3cd857f41983fb9a395cdb7fe2783adc4ab52863 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -198,5 +198,5 @@ struct SplitnetsPass : public Pass {
                }
        }
 } SplitnetsPass;
+
 PRIVATE_NAMESPACE_END
index bd3a43acab76718e3eacf1ddaec370616399b696..0aa76467f34f4eeacb00df52650fd1429a348976 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -239,5 +239,5 @@ struct StatPass : public Pass {
                log("\n");
        }
 } StatPass;
+
 PRIVATE_NAMESPACE_END
index a6e2f01b45408a2bba3b13c576a4539e139bd7dd..4ce750b1dee152adef9820ac65eaa0c4e0403083 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index a56730d4d904823594099bd5a04eadc522672fc9..a536fe308a8390c45d0b658c6d1e5f11b26202f8 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 5635e7a7ebf13425fe391893bd57e8a8e91f2775..1cc4c3a7c9ace9081f5972457726b4ee3ce6075f 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 23b348184dac672be5ae63a6eb5f3f46dfc5edf1..34318dec2192964bda320fcfec2b555c7a22a3af 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index b6e232f9bda0fb621d703860854d6d19f221fae4..b5c383b643f62d047b9c57a1999c28c4cbc7c85b 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index f1b6643255515223852a747ddebaee4442bc4092..1f52a63210219a5223b3b8488541bfce6f7f94d1 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 8ca1aacd53e53510f9b41d70f1bf05f4da525807..8a2f5e05cfa5eac1a183f1a8c932a0127e0f6e09 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index e76be40c2ec0f7beda7495a90a0a7fb28ad90ebe..1ecf14a28e326bcdc73282c16f404eaf1f84bc7b 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -145,5 +145,5 @@ struct FsmPass : public Pass {
                log_pop();
        }
 } FsmPass;
+
 PRIVATE_NAMESPACE_END
index c89553c6bc30036783701d89da7ee960a3428dc9..7a621b567e9035e41ae8029f20cb316c52fbea4a 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -191,5 +191,5 @@ struct FsmDetectPass : public Pass {
                muxtree_cells.clear();
        }
 } FsmDetectPass;
+
 PRIVATE_NAMESPACE_END
index a261eb22b2e6b5e4403679418288428f6750781f..914dcf29c8e3f7af5196d293aba69359ce41d066 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -275,5 +275,5 @@ struct FsmExpandPass : public Pass {
                }
        }
 } FsmExpandPass;
+
 PRIVATE_NAMESPACE_END
index ad927033429ff109d6ce6c31015c7ee500663a7f..0eff28844597b7beb541a7e0695cc947a112ac05 100644 (file)
@@ -3,11 +3,11 @@
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
  *  Copyright (C) 2012  Martin Schmölzer <martin@schmoelzer.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index b5250970bf7f22100441afa338e1c8b033639a19..7d68999fc705506f6238a8a69271c6f87976b7b9 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -241,7 +241,7 @@ static void extract_fsm(RTLIL::Wire *wire)
 {
        log("Extracting FSM `%s' from module `%s'.\n", wire->name.c_str(), module->name.c_str());
 
-       // get input and output signals for state ff 
+       // get input and output signals for state ff
 
        RTLIL::SigSpec dff_out = assign_map(RTLIL::SigSpec(wire));
        RTLIL::SigSpec dff_in(RTLIL::State::Sm, wire->width);
@@ -460,5 +460,5 @@ struct FsmExtractPass : public Pass {
                sig2trigger.clear();
        }
 } FsmExtractPass;
+
 PRIVATE_NAMESPACE_END
index 4a1f1d9a2da03dd8deb06b187bd347713c4bc09b..20db82c1f9cdf21214acebb77dc3a0273ab54952 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -58,5 +58,5 @@ struct FsmInfoPass : public Pass {
                                        }
        }
 } FsmInfoPass;
+
 PRIVATE_NAMESPACE_END
index 155801a3a4365dcb49dc2e92d2b7f92930fd9fe5..574b9a20151ffaeecc5484e9dba6b19c31379d80 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -350,5 +350,5 @@ struct FsmMapPass : public Pass {
                }
        }
 } FsmMapPass;
+
 PRIVATE_NAMESPACE_END
index 4b93d79f92d3406036bc7dc50ec3bfaf4f58a2b4..7322368cdb31ca4b27e3b88d954c1876f39b9f43 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -75,14 +75,14 @@ struct FsmOpt
                        fsm_data.reset_state = old_to_new_state.at(fsm_data.reset_state);
                }
        }
-       
+
        bool signal_is_unused(RTLIL::SigSpec sig)
        {
                RTLIL::SigBit bit = sig.to_single_sigbit();
 
                if (bit.wire == NULL || bit.wire->attributes.count("\\unused_bits") == 0)
                        return false;
-               
+
                char *str = strdup(bit.wire->attributes["\\unused_bits"].decode_string().c_str());
                for (char *tok = strtok(str, " "); tok != NULL; tok = strtok(NULL, " ")) {
                        if (tok[0] && bit.offset == atoi(tok)) {
@@ -347,5 +347,5 @@ struct FsmOptPass : public Pass {
                }
        }
 } FsmOptPass;
+
 PRIVATE_NAMESPACE_END
index 169968103e0b9e3be626e8b837e90a9a10d39a9a..aa1e99bef400a98c63ff2ed57d68e722c8f93a58 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -93,7 +93,7 @@ static void fsm_recode(RTLIL::Cell *cell, RTLIL::Module *module, FILE *fm_set_fs
                fsm_data.state_bits = new_num_state_bits;
        } else
                log_error("FSM encoding `%s' is not supported!\n", encoding.c_str());
-       
+
        if (encfile)
                fprintf(encfile, ".fsm %s %s\n", log_id(module), RTLIL::unescape_id(cell->parameters["\\NAME"].decode_string()).c_str());
 
@@ -134,7 +134,7 @@ struct FsmRecodePass : public Pass {
                log("\n");
                log("This pass reassign the state encodings for FSM cells. At the moment only\n");
                log("one-hot encoding and binary encoding is supported.\n");
-               
+
                log("    -encoding <type>\n");
                log("        specify the encoding scheme used for FSMs without the\n");
                log("        'fsm_encoding' attribute or with the attribute set to `auto'.\n");
@@ -193,5 +193,5 @@ struct FsmRecodePass : public Pass {
                        fclose(encfile);
        }
 } FsmRecodePass;
+
 PRIVATE_NAMESPACE_END
index 5671d00064943a00a0c9a4da10d954b84ad0309b..1b98ccbac30f0445f8c9c80ed0cf3e9404307ac6 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 5717703280dc74f36e0d17134fe98ee3dbf95e8e..d655af0d7f66b6014cd1218e3648f8506a334877 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 8d4012c534e03d8d81e34219a81fae0c8e7c7bd7..be46d8821708ac1b7262561fa498321279b88070 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -349,5 +349,5 @@ struct SubmodPass : public Pass {
                log_pop();
        }
 } SubmodPass;
+
 PRIVATE_NAMESPACE_END
index 7623d87243bf2297746e5603a55306426db813cb..4e74d1a4803a574459d03b1cc77c145a64092421 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -89,5 +89,5 @@ struct MemoryPass : public Pass {
                log_pop();
        }
 } MemoryPass;
+
 PRIVATE_NAMESPACE_END
index 2e968b7aa8253a0b7c9bbd3ee63bbc2933d13cdd..7d98a7c468576f6cd59afd6b44c071ad83fc348c 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 7e088a1b093296a78b8794ed055ef23fd09a5ba0..6bc4b44caf3362a821a9a3b5f3c20a7ac9bbb672 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -239,5 +239,5 @@ struct MemoryCollectPass : public Pass {
                                handle_module(design, mod_it.second);
        }
 } MemoryCollectPass;
+
 PRIVATE_NAMESPACE_END
index 0574dd404956505e92a4e204380e6b68d6dc4061..5584f27c3393a5c8c0d17259004899668eb6aa80 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -256,5 +256,5 @@ struct MemoryDffPass : public Pass {
                }
        }
 } MemoryDffPass;
+
 PRIVATE_NAMESPACE_END
index bc94e1e25ad84b96fc2f7a8e6bbba27afd3b9052..524fa8d2bca894075952a1e711b6adf78415182b 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -37,7 +37,7 @@ struct MemoryMapWorker
        {
                std::stringstream sstr;
                sstr << "$memory" << name.str() << token1;
-               
+
                if (i >= 0)
                        sstr << "[" << i << "]";
 
@@ -360,5 +360,5 @@ struct MemoryMapPass : public Pass {
                        MemoryMapWorker(design, mod);
        }
 } MemoryMapPass;
+
 PRIVATE_NAMESPACE_END
index 1845d56ea8346e295c9981a925a0802f37e0f9ac..b8f27025ac3d8f9ad5ca8837058c77457e248433 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -79,7 +79,7 @@ struct MemoryShareWorker
                                }
                                return false;
                        }
-                               
+
 
                for (int i = 0; i < int(sig_s.size()); i++)
                {
index 0f8d5217fb8c53d2fbe55b08312b58d450b2e958..c07c4b60c011b42bc81e2522924a5be5165af95e 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -126,5 +126,5 @@ struct MemoryUnpackPass : public Pass {
                                handle_module(design, mod_it.second);
        }
 } MemoryUnpackPass;
+
 PRIVATE_NAMESPACE_END
index 6b075cd9aac10108f260f05bd433f405b5bfd917..43defb788547c3f80f2275ab3c0e7dc331c84c56 100644 (file)
@@ -4,8 +4,8 @@ OBJS += passes/opt/opt_share.o
 OBJS += passes/opt/opt_muxtree.o
 OBJS += passes/opt/opt_reduce.o
 OBJS += passes/opt/opt_rmdff.o
-OBJS += passes/opt/opt_clean.o 
-OBJS += passes/opt/opt_const.o 
+OBJS += passes/opt/opt_clean.o
+OBJS += passes/opt/opt_const.o
 
 ifneq ($(SMALL),1)
 OBJS += passes/opt/share.o
index 150cacf9a3e31aa4cffc6671c155a2e4c4a0bd24..f5389d8ee0beec4c54bb39f881813e5b6191bce3 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -162,5 +162,5 @@ struct OptPass : public Pass {
                log_pop();
        }
 } OptPass;
+
 PRIVATE_NAMESPACE_END
index a34da781a33adfb436a5b2d09c23c8620f039f43..16d6add8e706e791dd5eab18df51543900901e18 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -159,7 +159,7 @@ void rmunused_module_signals(RTLIL::Module *module, bool purge_mode, bool verbos
                        for (auto &it2 : cell->connections())
                                connected_signals.add(it2.second);
                }
-       
+
        SigMap assign_map(module);
        pool<RTLIL::SigSpec> direct_sigs;
        pool<RTLIL::Wire*> direct_wires;
@@ -368,7 +368,7 @@ struct OptCleanPass : public Pass {
                log_pop();
        }
 } OptCleanPass;
+
 struct CleanPass : public Pass {
        CleanPass() : Pass("clean", "remove unused cells and wires") { }
        virtual void help()
@@ -432,5 +432,5 @@ struct CleanPass : public Pass {
                ct_all.clear();
        }
 } CleanPass;
+
 PRIVATE_NAMESPACE_END
index a398089175d807f83378d048a307c1160aa7c79a..32a804260c05e5b84a3c8dddb11a056aa27dc369 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 982870745066bc52effe3f2340fc5dd4e7b63287..15d59202ed48266047c14fda039cc752fadcb17b 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -479,5 +479,5 @@ struct OptMuxtreePass : public Pass {
                log("Removed %d multiplexer ports.\n", total_count);
        }
 } OptMuxtreePass;
+
 PRIVATE_NAMESPACE_END
index 5c36eb26ba51e1a10f1b300516ead10cff2a90ee..aec85b46e1db817d1ac62b03d80d56d65a621e7d 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -384,5 +384,5 @@ struct OptReducePass : public Pass {
                log("Performed a total of %d changes.\n", total_count);
        }
 } OptReducePass;
+
 PRIVATE_NAMESPACE_END
index 84af6482343e76286d5f1a55c6e44d6831ae886e..d2695b16791d4929f1c221e6563e54992de3885e 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -250,5 +250,5 @@ struct OptRmdffPass : public Pass {
                log("Replaced %d DFF cells.\n", total_count);
        }
 } OptRmdffPass;
+
 PRIVATE_NAMESPACE_END
index 0e524e9e1fc17b711c849eddca42c4ff715fe4ee..39bc98210629a9966d77439e5474b8e4efeb775c 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -335,5 +335,5 @@ struct OptSharePass : public Pass {
                log("Removed a total of %d cells.\n", total_count);
        }
 } OptSharePass;
+
 PRIVATE_NAMESPACE_END
index bf406bcd813faa9765000e71d7afa80fc3f681ec..b1b441da779a58d64dff3d73c7d7a998d530117d 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 72b4051fa325445517b12730d9f364dc2694bc3c..70a40e9605e1f239528be25c706aeed6345b7f8d 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 40b2b30f81bf68dc62ca2996a5bd1265ac0470cb..577ff6bf5dc95d0e9fc3b5e411aca65a22c48ec7 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -86,5 +86,5 @@ struct ProcPass : public Pass {
                log_pop();
        }
 } ProcPass;
+
 PRIVATE_NAMESPACE_END
index 1f08ab0423c5b8c8f658a38c3d6697b74b262a68..1da237283905561f611ca647f608ea9fe0bb04a4 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -288,5 +288,5 @@ struct ProcArstPass : public Pass {
                        wire->attributes.erase("\\init");
        }
 } ProcArstPass;
+
 PRIVATE_NAMESPACE_END
index 82716cd06cfb2c5ad0ef9de910f24383840215bb..35801951ac45fb39b116a8a5badee0f6d1a488a2 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -183,5 +183,5 @@ struct ProcCleanPass : public Pass {
                log("Cleaned up %d empty switch%s.\n", total_count, total_count == 1 ? "" : "es");
        }
 } ProcCleanPass;
+
 PRIVATE_NAMESPACE_END
index 76842da6bc73c7561a0afedbf3217c02fb101139..637131399ba8a59cac42841e00de8449de4abaf0 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -382,5 +382,5 @@ struct ProcDffPass : public Pass {
                        }
        }
 } ProcDffPass;
+
 PRIVATE_NAMESPACE_END
index e1bbab54595fb14e3de417ccf0f0de91116564c6..e37d81ddd33ac9fe9fe4e4ea02bde105bac5a6a8 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index dff68159ffb7698c5f2c0c89503fb1b2ced1230a..1d6738058869205f439800a90a11f39f00d0d444 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -111,5 +111,5 @@ struct ProcInitPass : public Pass {
                                                proc_init(mod, proc_it.second);
        }
 } ProcInitPass;
+
 PRIVATE_NAMESPACE_END
index 4aa1aab54573282c7c9fa9faeda2359bf7abc0bb..904d921147c2a3e1620924ad04b4c95794702096 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -285,5 +285,5 @@ struct ProcMuxPass : public Pass {
                                                proc_mux(mod, proc_it.second);
        }
 } ProcMuxPass;
+
 PRIVATE_NAMESPACE_END
index 427e0d5671da34613cd2ece68d1b205fd999efa6..f60d4b30dc43c45c1432bee4fdbd5c1400b5b443 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -100,5 +100,5 @@ struct ProcRmdeadPass : public Pass {
                log("Removed a total of %d dead cases.\n", total_counter);
        }
 } ProcRmdeadPass;
+
 PRIVATE_NAMESPACE_END
index 01d0e031c035f49d7ca2e99accb34a01de2a96cb..d97fa6f164ae516650d7a7c1a4724ca30a703473 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -448,7 +448,7 @@ struct EvalPass : public Pass {
                                                        RTLIL::id2cstr(module->name), RTLIL::id2cstr(mod_it.first));
                                module = mod_it.second;
                        }
-               if (module == NULL) 
+               if (module == NULL)
                        log_cmd_error("Can't perform EVAL on an empty selection!\n");
 
                ConstEval ce(module);
@@ -599,5 +599,5 @@ struct EvalPass : public Pass {
                }
        }
 } EvalPass;
+
 PRIVATE_NAMESPACE_END
index b012bc6a4a0cba279105a52f1d72b86139a86d7b..3d2bcf63a14ba85367fafea899a9c66f25e39642 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -646,5 +646,5 @@ struct ExposePass : public Pass {
                }
        }
 } ExposePass;
+
 PRIVATE_NAMESPACE_END
index a60de4ee0195daba99a2175f79efdc5f011acd4e..f9d3a82a596c20aff55ad6ab5c82de927457293e 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -836,5 +836,5 @@ struct FreducePass : public Pass {
                log("Rewired a total of %d signal bits.\n", bitcount);
        }
 } FreducePass;
+
 PRIVATE_NAMESPACE_END
index 9853cd0c6d68c8d828da2183788e496d7f9f8eea..7c48e5b954486215747cbfd8598500fe8e53034e 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -61,7 +61,7 @@ void create_miter_equiv(struct Pass *that, std::vector<std::string> args, RTLIL:
        }
        if (argidx+3 != args.size() || args[argidx].substr(0, 1) == "-")
                that->cmd_error(args, argidx, "command argument error");
-       
+
        RTLIL::IdString gold_name = RTLIL::escape_id(args[argidx++]);
        RTLIL::IdString gate_name = RTLIL::escape_id(args[argidx++]);
        RTLIL::IdString miter_name = RTLIL::escape_id(args[argidx++]);
@@ -301,5 +301,5 @@ struct MiterPass : public Pass {
                log_cmd_error("Missing mode parameter!\n");
        }
 } MiterPass;
+
 PRIVATE_NAMESPACE_END
index a420011eca0a99cfe2a7b8e3facdede958f851eb..16ec88fe01e4532512772d9f9e086df2ab682d45 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index a180e311c988723fbf40f4bef84fd92600f81e0b..1449f2e8a13797ac6bc5133d073b392e1d467e08 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -1537,5 +1537,5 @@ struct AbcPass : public Pass {
                log_pop();
        }
 } AbcPass;
+
 PRIVATE_NAMESPACE_END
index 5253b0f8a37585b88e7812e2d260be4bd9f549f1..db1c731e9239da3ee1ca8f788f675ac34b3671f4 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -108,7 +108,7 @@ struct AigmapPass : public Pass {
 
                                        if (node.inverter)
                                                bit = module->NotGate(NEW_ID, bit);
-                               
+
                                skip_inverter:
                                        for (auto &op : node.outports)
                                                module->connect(cell->getPort(op.first)[op.second], bit);
@@ -145,5 +145,5 @@ struct AigmapPass : public Pass {
                }
        }
 } AigmapPass;
+
 PRIVATE_NAMESPACE_END
index dcffed94d32b14c36f9e7c0d27042eee973c7f0d..54c9bc1aaf5ca5e174a1cd6726c8737820e402c1 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -563,5 +563,5 @@ struct AlumaccPass : public Pass {
                        }
        }
 } AlumaccPass;
+
 PRIVATE_NAMESPACE_END
index 5ebc3ef98a5ced5cf160c30d2abd2f1295dbf712..e587f827ab8a4bc03eba8d75f2931b2365e6fc57 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -365,5 +365,5 @@ struct Dff2dffePass : public Pass {
                        }
        }
 } Dff2dffePass;
+
 PRIVATE_NAMESPACE_END
index 3317e8afbe0710149678f1f391d0407a6e70bb51..2215c18e52797f48257dafa66003cabe921561ae 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -117,5 +117,5 @@ struct DffinitPass : public Pass {
                }
        }
 } DffinitPass;
+
 PRIVATE_NAMESPACE_END
index 9f534c2eaeb86d8e0a2302c7ac9962a24a401e4e..64131c1a8dd97da9ec89f9d396baa7cfb428463d 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -80,7 +80,7 @@ static bool parse_pin(LibertyAst *cell, LibertyAst *attr, std::string &pin_name,
 {
        if (cell == NULL || attr == NULL || attr->value.empty())
                return false;
-       
+
        std::string value = attr->value;
 
        for (size_t pos = value.find_first_of("\" \t()"); pos != std::string::npos; pos = value.find_first_of("\" \t()"))
@@ -562,7 +562,7 @@ struct DfflibmapPass : public Pass {
                map_sr_to_arst("$_DFFSR_PNN_", "$_DFF_PN1_");
                map_sr_to_arst("$_DFFSR_PPP_", "$_DFF_PP0_");
                map_sr_to_arst("$_DFFSR_PPP_", "$_DFF_PP1_");
+
                log("  final dff cell mappings:\n");
                logmap_all();
 
@@ -573,5 +573,5 @@ struct DfflibmapPass : public Pass {
                cell_mappings.clear();
        }
 } DfflibmapPass;
+
 PRIVATE_NAMESPACE_END
index 27689663e97e1755d97f9155becbc6560ed3676f..b670083bf48e8cc6674f786880d43a443d96230a 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -650,7 +650,7 @@ struct ExtractPass : public Pass {
                                haystack_map[graph_name] = mod_it.second;
                        }
                }
-               
+
                if (!mine_mode)
                {
                        std::vector<SubCircuit::Solver::Result> results;
@@ -759,5 +759,5 @@ struct ExtractPass : public Pass {
                log_pop();
        }
 } ExtractPass;
+
 PRIVATE_NAMESPACE_END
index 9a14ffa3c06689a6134d253a6459ec7c6a47f582..a0bd2f9aecfc94569c658e014db075b6ccc0ac8f 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -119,5 +119,5 @@ struct HilomapPass : public Pass {
                }
        }
 } HilomapPass;
+
 PRIVATE_NAMESPACE_END
index 3fba0e618387e39411db950eceb4fd66b0dfadb4..0e0a2adc9779047578ddf3ddb65779078afbec5e 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -202,5 +202,5 @@ struct IopadmapPass : public Pass {
                }
        }
 } IopadmapPass;
+
 PRIVATE_NAMESPACE_END
index def480394aec91faee5001920c459cea1bf9edb8..d5254c029b59693250e5319cf125069c4f8e0f5e 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -173,7 +173,7 @@ LibertyAst *LibertyParser::parse()
 
        if (tok == '}' || tok < 0)
                return NULL;
-       
+
        if (tok != 'v')
                error();
 
index e947bd8cd9fa3872cc6d808d11ce979f7b8494aa..cf632557081d1f4e71ddb2f326310694221c534e 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 8068cd9eb76b51d4231326f2932753910ec17b68..dad1c06ac3cdcbc867bacec0dfd545859c556089 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -400,5 +400,5 @@ struct MaccmapPass : public Pass {
                        }
        }
 } MaccmapPass;
+
 PRIVATE_NAMESPACE_END
index 18d042041b647325e790fc550bcf896253713c69..b250c5680d49bc6ddda8d1455b84c42f6df02b37 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -530,7 +530,7 @@ struct MuxcoverWorker
                int count_muxes_by_type[4] = {0, 0, 0, 0};
                find_best_cover(tree, tree.root);
                implement_best_cover(tree, tree.root, count_muxes_by_type);
-               log("    Replaced tree at %s: %d MUX2, %d MUX4, %d MUX8, %d MUX16\n", log_signal(tree.root), 
+               log("    Replaced tree at %s: %d MUX2, %d MUX4, %d MUX8, %d MUX16\n", log_signal(tree.root),
                                count_muxes_by_type[0], count_muxes_by_type[1], count_muxes_by_type[2], count_muxes_by_type[3]);
                for (auto &it : tree.muxes)
                        module->remove(it.second);
@@ -628,5 +628,5 @@ struct MuxcoverPass : public Pass {
                }
        }
 } MuxcoverPass;
+
 PRIVATE_NAMESPACE_END
index 87762c0bcb11f29b5739afded034b9ddff4d35bb..3c12bfd0204d6e6c71639af4e2f31cd0fdcd360a 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -56,7 +56,7 @@ static SigSpec recursive_mux_generator(Module *module, const SigSpec &sig_data,
        SigSpec right_sel = sig_sel.extract(left_size, right_size);
 
        SigSpec left_or, left_result, right_result;
-       
+
        left_result = recursive_mux_generator(module, left_data, left_sel, left_or);
        right_result = recursive_mux_generator(module, right_data, right_sel, sig_or);
        left_or = or_generator(module, left_or);
index 6cd1c5864b7bb00614af0af68374805bf534e0fb..7511a55c77f613e4bcd085e2b419cacf17b0cf05 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -97,7 +97,7 @@ void simplemap_reduce(RTLIL::Module *module, RTLIL::Cell *cell)
 
        if (sig_y.size() == 0)
                return;
-       
+
        if (sig_a.size() == 0) {
                if (cell->type == "$reduce_and")  module->connect(RTLIL::SigSig(sig_y, RTLIL::SigSpec(1, sig_y.size())));
                if (cell->type == "$reduce_or")   module->connect(RTLIL::SigSig(sig_y, RTLIL::SigSpec(0, sig_y.size())));
@@ -197,7 +197,7 @@ void simplemap_lognot(RTLIL::Module *module, RTLIL::Cell *cell)
 
        if (sig_y.size() == 0)
                return;
-       
+
        if (sig_y.size() > 1) {
                module->connect(RTLIL::SigSig(sig_y.extract(1, sig_y.size()-1), RTLIL::SigSpec(0, sig_y.size()-1)));
                sig_y = sig_y.extract(0, 1);
@@ -221,7 +221,7 @@ void simplemap_logbin(RTLIL::Module *module, RTLIL::Cell *cell)
 
        if (sig_y.size() == 0)
                return;
-       
+
        if (sig_y.size() > 1) {
                module->connect(RTLIL::SigSig(sig_y.extract(1, sig_y.size()-1), RTLIL::SigSpec(0, sig_y.size()-1)));
                sig_y = sig_y.extract(0, 1);
@@ -549,5 +549,5 @@ struct SimplemapPass : public Pass {
                }
        }
 } SimplemapPass;
+
 PRIVATE_NAMESPACE_END
index 67be4efef78be023256cd1722d37a8370c6d4efa..c2d73ea791159dbbf248f9a6d4ee47fea444d2a4 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index c36eb2edb5c9ad0e87750cfabd18c398c5f9f57b..63923a5cf8759c11efcd94b818737ac841cb9330 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -1088,7 +1088,7 @@ struct TechmapPass : public Pass {
                log_pop();
        }
 } TechmapPass;
+
 struct FlattenPass : public Pass {
        FlattenPass() : Pass("flatten", "flatten design") { }
        virtual void help()
index 7c1b671c6e29cebc58b718f296a7390c7867d0b4..5d573ad625c927ef8c0100ace0e44c8ca8ba5013 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -349,6 +349,6 @@ struct TestAutotbBackend : public Backend {
                autotest(*f, design, num_iter);
        }
 } TestAutotbBackend;
+
 PRIVATE_NAMESPACE_END
 
index 68b5c05b6fd364a02d3e88b4784910607c71505b..f21658724f641e05fb5b0a3c0e7845da9044a3e6 100644 (file)
@@ -2,7 +2,7 @@ module counter (clk, rst, en, count);
 
    input clk, rst, en;
    output reg [2:0] count;
-   
+
    always @(posedge clk)
       if (rst)
          count <= 3'd0;
index d85cf5adc5acef8b45ee280266bf5bd7b5a6df1d..66970620978a345a0858d11c379119ae26cdff36 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index f3a12717016acfe927e16aaba78995e6a81fcfb1..ddc7fe3be5f8d3ed5d1a11390a9c9dc821131c23 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index f6853651e6d1f94f7bff0eb87f7e23a8bd22b738..4c819e23026e1081080f09895ac9edf387432587 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -211,5 +211,5 @@ struct SynthPass : public Pass {
                log_pop();
        }
 } SynthPass;
+
 PRIVATE_NAMESPACE_END
index f67e365846e5892f28543f22ff5d9617a31e542c..e4974789ee1f3c3384330d74c3603fb71842268a 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -20,7 +20,7 @@
  *  The internal logic cell technology mapper.
  *
  *  This verilog library contains the mapping of internal cells (e.g. $not with
- *  variable bit width) to the internal logic cells (such as the single bit $_NOT_ 
+ *  variable bit width) to the internal logic cells (such as the single bit $_NOT_
  *  gate). Usually this logic network is then mapped to the actual technology
  *  using e.g. the "abc" pass.
  *
index b0f66a2acd8a7eb4a52c5ef8748ed4c8166ac9f3..4449fdc1bf9961acce2d4f40dc204ee919e68165 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 47370117257d9dbf19272dcdaef8b1980c2fe865..afa8a516bba3e28664afdf12851081043cc0f8cb 100644 (file)
@@ -15,7 +15,7 @@ module SB_IO (
 );
        parameter [5:0] PIN_TYPE = 6'b000000;
        parameter [0:0] PULLUP = 1'b0;
-       parameter [0:0] NEG_TRIGGER = 1'b0; 
+       parameter [0:0] NEG_TRIGGER = 1'b0;
        parameter IO_STANDARD = "SB_LVCMOS";
 
        reg dout, din_0, din_1;
@@ -74,7 +74,7 @@ module SB_GB_IO (
 );
        parameter [5:0] PIN_TYPE = 6'b000000;
        parameter [0:0] PULLUP = 1'b0;
-       parameter [0:0] NEG_TRIGGER = 1'b0; 
+       parameter [0:0] NEG_TRIGGER = 1'b0;
        parameter IO_STANDARD = "SB_LVCMOS";
 
        assign GLOBAL_BUFFER_OUTPUT = PACKAGE_PIN;
index 4159d85969d3b1c38e005b5190ca329dd87b7da9..9ebc3c0d728c7c21cf56a189776171ed18288627 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -119,5 +119,5 @@ struct Ice40FfssrPass : public Pass {
                }
        }
 } Ice40FfssrPass;
+
 PRIVATE_NAMESPACE_END
index 990d29aaeb5536800c3ed432361a7884dbe5e7b4..6acefaf49d804eb81342789c3cddb52a138274d6 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -166,5 +166,5 @@ struct Ice40OptPass : public Pass {
                log_pop();
        }
 } Ice40OptPass;
+
 PRIVATE_NAMESPACE_END
index 236c27a547a067b82b4ee4117449a90f22ed6863..4499263a3ea1c7227de7f09a3bc1854f21fa0a36 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -272,5 +272,5 @@ struct SynthIce40Pass : public Pass {
                log_pop();
        }
 } SynthIce40Pass;
+
 PRIVATE_NAMESPACE_END
index a154f77402994b3bb62b3243849fab44f8d13073..03719659bd65aec8eb2d37412a2e5a57d7fbe189 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
index 5e71c468f0b21d2edc4265b82b2c53af8bc31569..894e714c643077ef49f03658526d6f1136105f59 100644 (file)
@@ -102,4 +102,4 @@ match $__XILINX_RAMB18_TDP
   shuffle_enable B
   make_transp
 endmatch
+
index 8ef0fae128ea4ff122229c35f55a321232da934b..b3d4c214fd87c105f576a506c804368fa6bdecfc 100644 (file)
@@ -2,11 +2,11 @@
  *  yosys -- Yosys Open SYnthesis Suite
  *
  *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
+ *
  *  Permission to use, copy, modify, and/or distribute this software for any
  *  purpose with or without fee is hereby granted, provided that the above
  *  copyright notice and this permission notice appear in all copies.
- *  
+ *
  *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
  *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
  *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -231,5 +231,5 @@ struct SynthXilinxPass : public Pass {
                log_pop();
        }
 } SynthXilinxPass;
+
 PRIVATE_NAMESPACE_END
index 352eedb0965a5ac85bacdb8e0e6abe213441122e..fb5695ff67c60ed6d5acc29fc8939f9ce847bb33 100644 (file)
@@ -108,4 +108,4 @@ for idx in range(50):
                 print('cd ..')
             print('miter -equiv -flatten -ignore_gold_x -make_outputs -make_outcmp gold gate miter')
             print('sat -verify-no-timeout -timeout 20 -seq 5 -set-at 1 %s_rst 1 -prove trigger 0 -prove-skip 1 -show-inputs -show-outputs miter' % ('gold' if rst2 else 'in'))
+
index 16f68f0528ddb9253f87cdc40034367c2700e7cf..aee2111859333dd83eaca28923acffabc83a7b47 100644 (file)
@@ -39,7 +39,7 @@ def random_expression(depth = 3, maxparam = 0):
         return op + '(' + recursion() + ', ' + recursion() + ')'
     raise
 
-for idx in range(100): 
+for idx in range(100):
     with open('temp/uut_%05d.v' % idx, 'w') as f:
         with redirect_stdout(f):
             print('module uut_%05d(output [63:0] %s);\n' % (idx, ', '.join(['y%02d' % i for i in range(100)])))
@@ -91,4 +91,4 @@ for idx in range(100):
                 print('  compare_ref_syn(%2d, r%02d, s%02d);' % (i, i, i))
             print('end')
             print('endmodule')
+
index 7f8a59513b0836b1d59d9617211ed61314fc449a..271dd9c4084cc61517de281b29a6efbf6117b3d3 100644 (file)
@@ -72,4 +72,4 @@ for idx in range(100):
             print('tee -a temp/all_share_log.txt share -aggressive gate')
             print('miter -equiv -flatten -ignore_gold_x -make_outputs -make_outcmp gold gate miter')
             print('sat -set-def-inputs -verify -prove trigger 0 -show-inputs -show-outputs miter')
+
index 77cdcd8e2391473d7096c1292d985ee98db99979..d7743a422eee7c9ea6c274ac2ed2b8974f338860 100644 (file)
@@ -41,10 +41,10 @@ begin
                keysched_last_key_i = key_i;
        else
                keysched_last_key_i = keysched_new_key_o;
-       
+
        if (round == 0 && addroundkey_start_i)
        begin
-               data_var = addroundkey_data_i;  
+               data_var = addroundkey_data_i;
                round_key_var = key_i;
                round_data_var = round_key_var ^ data_var;
                next_addroundkey_data_reg = round_data_var;
@@ -66,7 +66,7 @@ begin
        end
        else if (addroundkey_round == round && keysched_ready_o)
        begin
-               data_var = addroundkey_data_i;  
+               data_var = addroundkey_data_i;
                round_key_var = keysched_new_key_o;
                round_data_var = round_key_var ^ data_var;
                next_addroundkey_data_reg = round_data_var;
index bed5528d424669ef5bd4cd4c5bf79e998eb05740..40f490b754b627bfc82396e51150e9a6b5ad9ff0 100644 (file)
@@ -47,7 +47,7 @@ endmodule
 
 // http://www.reddit.com/r/yosys/comments/28d9lx/problem_with_concatenation_of_two_dimensional/
 module mem2reg_test3( input clk, input [8:0] din_a, output reg [7:0] dout_a, output [7:0] dout_b);
-reg [7:0] dint_c [0:7]; 
+reg [7:0] dint_c [0:7];
 always @(posedge clk)
   begin
       {dout_a[0], dint_c[3]} <= din_a;
index dc8860dee5a80083e8ea48d914eaaa18f64ef0f9..569a28adbdc9dbb70f05e49892f9ecfe8293e600 100644 (file)
@@ -22,13 +22,13 @@ always @(uart_state or mem_burst)
     RX_DATA : uart_state_nxt =  RX_SYNC;
     default  : uart_state_nxt =  RX_CMD;
   endcase
-   
+
 always @(posedge dbg_clk or posedge dbg_rst)
   if (dbg_rst) uart_state <= RX_SYNC;
   else if (xfer_done | mem_burst) uart_state <= uart_state_nxt;
 
 assign cmd_valid = (uart_state==RX_CMD) & xfer_done;
 assign xfer_done = uart_state!=RX_SYNC;
-   
+
 endmodule