Correction to -expose with setundef
authorAman Goel <amangoel@umich.edu>
Tue, 15 May 2018 17:06:23 +0000 (13:06 -0400)
committerAman Goel <amangoel@umich.edu>
Tue, 15 May 2018 17:06:23 +0000 (13:06 -0400)
passes/cmds/setundef.cc

index 9b0c30cae6fc365e27644e45195370fc33ada657..c67953976a88b9be2d40581fdf6d8b8cde8259f2 100644 (file)
@@ -308,6 +308,7 @@ struct SetundefPass : public Pass {
             log("Exposing undriven wire %s as input.\n", wire->name.c_str());
           }
           module->fixup_ports();
+          continue;
         }
         else {
           SigMap sigmap(module);