Add SB_LUT4 to box library
authorEddie Hung <eddie@fpgeh.com>
Wed, 17 Apr 2019 00:34:11 +0000 (17:34 -0700)
committerEddie Hung <eddie@fpgeh.com>
Wed, 17 Apr 2019 00:34:11 +0000 (17:34 -0700)
techlibs/ice40/cells.box
techlibs/ice40/cells_box.v
techlibs/ice40/lut.lut

index e4cfb71e6a922aa7fd215b3491a75ad881537f59..d775efa78ce1229fd1c10c67730ce59602b7d88a 100644 (file)
@@ -1,6 +1,13 @@
+# From https://github.com/cliffordwolf/icestorm/blob/81c33a3/icefuzz/timings_hx8k.txt
+
 # NB: Inputs/Outputs must be ordered alphabetically
 
 # Inputs: CI I0 I1
 # Outputs: CO
 SB_CARRY 1 1 3 1
 126 259 231
+
+# Inputs: I0 I1 I2 I3
+# Outputs: O
+SB_LUT4 2 1 4 1
+316 379 400 449
index cca88f9aa280551745c4a9d03229b56499801293..e2a54a42ca46457daf5df5325f6686219b5cdd4a 100644 (file)
@@ -3,3 +3,10 @@ module SB_CARRY (output CO, input CI, I0, I1);
        assign CO = (I0 && I1) || ((I0 || I1) && CI);
 endmodule
 
+(* abc_box_id = 2 *)
+module SB_LUT4 (output O, input I0, I1, I2, I3);
+       parameter [15:0] LUT_INIT = 0;
+       // Indicate this is a black-box
+       assign O = 1'b0;
+endmodule
+
index 48da89f4642fc5b12b5c67f384de3114533e916a..6fa0682da03e812f2c5adc7aab9b93975198e711 100644 (file)
@@ -1,3 +1,5 @@
+# From https://github.com/cliffordwolf/icestorm/blob/81c33a3/icefuzz/timings_hx8k.txt
+
 1      1       316
 2      1       316 379
 3      1       316 379 400