Metavalue cleanup for helpers.vhdl
authorMichael Neuling <mikey@neuling.org>
Thu, 14 Jul 2022 00:29:11 +0000 (10:29 +1000)
committerMichael Neuling <mikey@neuling.org>
Thu, 28 Jul 2022 00:13:16 +0000 (10:13 +1000)
Signed-off-by: Michael Neuling <mikey@neuling.org>
helpers.vhdl

index bb69927209ed7a861a1cfad23e6246ae5c60beea..92c10dcf4d7cbf947b56d47bf2f5b74d2c7c898b 100644 (file)
@@ -162,7 +162,9 @@ package body helpers is
 
     function ra_or_zero(ra: std_ulogic_vector(63 downto 0); reg: std_ulogic_vector(4 downto 0)) return std_ulogic_vector is
     begin
-        if to_integer(unsigned(reg)) = 0 then
+       if is_X(reg) then
+           return x"XXXXXXXXXXXXXXXX";
+        elsif to_integer(unsigned(reg)) = 0 then
             return x"0000000000000000";
         else
             return ra;