Write logic equivalences in a clearer way
authorJean THOMAS <git0@pub.jeanthomas.me>
Wed, 15 Jul 2020 16:09:08 +0000 (18:09 +0200)
committerJean THOMAS <git0@pub.jeanthomas.me>
Wed, 15 Jul 2020 16:09:08 +0000 (18:09 +0200)
gram/core/multiplexer.py

index 9730eca71ee18235172676f1d118e7cc93b15973..cc61d09729d39ba37d4b9d420493653bc29d3768 100644 (file)
@@ -234,7 +234,7 @@ class _AntiStarvation(Elaboratable):
             m.d.comb += self.max_time.eq(0)
 
         if platform == "formal" and self._timeout > 0:
-            m.d.comb += Assert(~(self.max_time ^ (time == 0)))
+            m.d.comb += Assert(self.max_time == (time == 0))
 
         return m