Merged addition of SED makefile variable from github.com/Siesh1oo/yosys
authorClifford Wolf <clifford@clifford.at>
Tue, 11 Mar 2014 13:42:58 +0000 (14:42 +0100)
committerClifford Wolf <clifford@clifford.at>
Tue, 11 Mar 2014 13:42:58 +0000 (14:42 +0100)
(see https://github.com/cliffordwolf/yosys/pull/28)

Makefile
techlibs/common/Makefile.inc

index a68ceccb435a608537b2ec28cea18d13ae3ab1e7..abb473ba9d20f74764fd7e25a0186c3cecd9b5f3 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -27,6 +27,7 @@ CXXFLAGS = -Wall -Wextra -ggdb -I"$(shell pwd)" -MD -D_YOSYS_ -fPIC
 LDFLAGS = -rdynamic
 LDLIBS = -lstdc++ -lreadline -lm -ldl -lrt
 QMAKE = qmake-qt4
+SED = sed
 
 YOSYS_VER := 0.2.0+
 GIT_REV := $(shell git rev-parse --short HEAD || echo UNKOWN)
@@ -115,7 +116,7 @@ kernel/version_$(GIT_REV).cc: Makefile
        echo "extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys $(YOSYS_VER) (git sha1 $(GIT_REV))\";" > kernel/version_$(GIT_REV).cc
 
 yosys-config: yosys-config.in
-       sed -e 's,@CXX@,$(CXX),;' -e 's,@CXXFLAGS@,$(CXXFLAGS),;' -e 's,@LDFLAGS@,$(LDFLAGS),;' -e 's,@LDLIBS@,$(LDLIBS),;' \
+       $(SED) -e 's,@CXX@,$(CXX),;' -e 's,@CXXFLAGS@,$(CXXFLAGS),;' -e 's,@LDFLAGS@,$(LDFLAGS),;' -e 's,@LDLIBS@,$(LDLIBS),;' \
                        -e 's,@BINDIR@,$(DESTDIR)/bin,;' -e 's,@DATDIR@,$(DESTDIR)/share/yosys,;' < yosys-config.in > yosys-config
        chmod +x yosys-config
 
index 6d94d5c9b8a4b27adf3480b0f8b5446056b26bff..c68b13f6cbab5f9681974787ca5e13fab9f0feb5 100644 (file)
@@ -2,7 +2,7 @@
 EXTRA_TARGETS += techlibs/common/blackbox.v
 
 techlibs/common/blackbox.v: techlibs/common/blackbox.sed techlibs/common/simlib.v techlibs/common/simcells.v
-       cat techlibs/common/simlib.v techlibs/common/simcells.v | sed -rf techlibs/common/blackbox.sed > techlibs/common/blackbox.v.new
+       cat techlibs/common/simlib.v techlibs/common/simcells.v | $(SED) -rf techlibs/common/blackbox.sed > techlibs/common/blackbox.v.new
        mv techlibs/common/blackbox.v.new techlibs/common/blackbox.v
 
 EXTRA_TARGETS += share/simlib.v share/simcells.v share/blackbox.v share/pmux2mux.v