mention MyHDL for compare/contrast to nmigen
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 31 Dec 2021 16:09:35 +0000 (16:09 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 31 Dec 2021 16:09:35 +0000 (16:09 +0000)
README.md

index 1195b25a70325008411e6a1eb1d8e9f433074d2d..401983aa9c4d2b6b384dce4af3b796cd45467ecf 100644 (file)
--- a/README.md
+++ b/README.md
@@ -54,10 +54,12 @@ The development of nMigen has been supported by [M-Labs][] and
 
 nMigen is *not* a "Python-to-FPGA" conventional high level synthesis
 (HLS) tool. It will *not* take a Python program as input and generate a
-hardware implementation of it. In nMigen, the Python program is executed
-by a regular Python interpreter, and it emits explicit statements in the
-FHDL domain-specific language.  Writing a conventional HLS tool that uses
-nMigen as an internal component might be a good idea, on the other hand :)
+hardware implementation of it. If you prefer this style of HLS, you may
+wish to try [MyHDL](https://myhdl.org). In nMigen, the Python program is
+executed by a regular Python interpreter, and it emits explicit statements
+in the FHDL domain-specific language.  Writing a conventional HLS tool,
+similar to MyHDL, that uses nMigen as an internal component might be a
+good idea, on the other hand :)
 
 ### Installation