fetch1: Fix debug stop again
authorPaul Mackerras <paulus@ozlabs.org>
Sat, 9 Jul 2022 03:17:18 +0000 (13:17 +1000)
committerPaul Mackerras <paulus@ozlabs.org>
Fri, 22 Jul 2022 12:20:09 +0000 (22:20 +1000)
This fixes a bug which prevents the core from stopping properly.  The
same bug was previously fixed in commit e41cb01bca99 ("fetch1: Fix
debug stop", 2020-12-19) and reintroduced by commit 0fb207be6069
("fetch1: Implement a simple branch target cache", 2020-12-19).

Signed-off-by: Paul Mackerras <paulus@ozlabs.org>
fetch1.vhdl

index 4c4a6a8ea64256c5521dc27b438ab4f837250681..af1dd6bda0b0d95b43273f7cb7efd5e3c140ef19 100644 (file)
@@ -93,7 +93,7 @@ begin
             end if;
             -- always send the up-to-date stop mark and req
             r.stop_mark <= stop_in;
-            r.req <= not rst;
+            r.req <= not rst and not stop_in;
        end if;
     end process;
     log_out <= log_nia;