# CSR Port -----------------------------------------------------------------
"csr_expose": "False", # expose access to CSR (I/O) ports
"csr_align" : 32, # CSR alignment
+ "csr_base" : 0xc0100000 # For cpu=None only
}
# More path fudging
sw_dir = os.path.join(build_dir, "software");
- sw_inc_dir = os.path.join(build_dir, "include")
+ sw_inc_dir = os.path.join(sw_dir, "include")
gen_inc_dir = os.path.join(sw_inc_dir, "generated")
src_dir = os.path.join(gen_src_dir, "sdram_init")
lxbios_src_dir = os.path.join(soc_directory, "software", "bios")
add_var("BUILD_DIR", sw_dir)
add_var("SRC_DIR", src_dir)
- add_var("GENINC_DIR", gen_inc_dir)
+ add_var("GENINC_DIR", sw_inc_dir)
add_var("LXSRC_DIR", lxbios_src_dir)
add_var("LXINC_DIR", lxbios_inc_dir)
write_to_file(os.path.join(gen_inc_dir, "variables.mak"), "".join(env_vars))
return os.path.join(sw_dir, "obj", "sdram_init.hex")
-def generate_one(t):
+def generate_one(t, mw_init):
print("Generating target:", t)
if k == "sdram_phy":
core_config[k] = getattr(litedram_phys, core_config[k])
+ # Override values for mw_init
+ if mw_init:
+ core_config["cpu"] = None
+ core_config["csr_expose"] = True
+ core_config["csr_align"] = 64
+
# Generate core
if core_config["sdram_phy"] in [litedram_phys.ECP5DDRPHY]:
platform = LatticePlatform("LFE5UM5G-45F-8BG381C", io=[], toolchain="trellis")
# Generate init-cpu.txt if any and generate init code if none
cpu = core_config["cpu"]
- if cpu is None:
- print("Microwatt based inits not supported yet !")
+ if mw_init:
src_wrap_file = os.path.join(gen_src_dir, "wrapper-mw-init.vhdl")
src_init_file = build_init_code(build_dir)
else:
def main():
targets = ['arty','nexys-video']
+
+ # XXX Set mw_init to False to use a local VexRiscV for memory inits
for t in targets:
- generate_one(t)
+ generate_one(t, mw_init = True)
# XXX TODO: Remove build dir unless told not to via cmdline option
#### Flags
CPPFLAGS = -nostdinc
-CPPFLAGS += -I$(SRC_DIR)/libc/include -I$(LXSRC_DIR) -I$(LXINC_DIR) -I$(GENINC_DIR) -I$(SRC_DIR)/include -I$(SRC_DIR)/../../include
+CPPFLAGS += -I$(SRC_DIR)/libc/include -I$(LXSRC_DIR) -I$(LXINC_DIR) -I$(GENINC_DIR) -I$(SRC_DIR)/include -I$(SRC_DIR)/../../../include
CPPFLAGS += -isystem $(shell $(CC) -print-file-name=include)
CFLAGS = -Os -g -Wall -std=c99 -m64 -mabi=elfv2 -msoft-float -mno-string -mno-multiple -mno-vsx -mno-altivec -mlittle-endian -fno-stack-protector -mstrict-align -ffreestanding -fdata-sections -ffunction-sections -fno-delete-null-pointer-checks
ASFLAGS = $(CPPFLAGS) $(CFLAGS)
#### Pretty print
-ifeq ($(VERBOSE),1)
+ifeq ($(V),1)
define Q
$(2)
endef
static inline void flush_cpu_dcache(void) { }
static inline void flush_l2_cache(void) { }
+#define CONFIG_CPU_NOP "nop"
+#define CONFIG_CLOCK_FREQUENCY 100000000
+
+static inline void timer0_en_write(int e) { }
+static inline void timer0_reload_write(int r) { }
+static inline void timer0_load_write(int l) { }
+static inline void timer0_update_value_write(int v) { }
+static inline uint64_t timer0_value_read(void)
+{
+ uint64_t val;
+
+ __asm__ volatile ("mfdec %0" : "=r" (val));
+ return val;
+}
--- /dev/null
+/******************************************************************************
+ * Copyright (c) 2004, 2008 IBM Corporation
+ * All rights reserved.
+ * This program and the accompanying materials
+ * are made available under the terms of the BSD License
+ * which accompanies this distribution, and is available at
+ * http://www.opensource.org/licenses/bsd-license.php
+ *
+ * Contributors:
+ * IBM Corporation - initial implementation
+ *****************************************************************************/
+
+#ifndef _LIMITS_H
+#define _LIMITS_H
+
+#define UCHAR_MAX 255
+#define SCHAR_MAX 127
+#define SCHAR_MIN (-128)
+
+#define USHRT_MAX 65535
+#define SHRT_MAX 32767
+#define SHRT_MIN (-32768)
+
+#define UINT_MAX (4294967295U)
+#define INT_MAX 2147483647
+#define INT_MIN (-2147483648)
+
+#define ULONG_MAX ((unsigned long)-1L)
+#define LONG_MAX (ULONG_MAX/2)
+#define LONG_MIN ((-LONG_MAX)-1)
+
+#endif
* not happy otherwise. The PLL might need to settle ?
*/
potato_uart_init();
- for (i = 0; i < 10000; i++)
+ for (i = 0; i < 100000; i++)
potato_uart_reg_read(POTATO_CONSOLE_STATUS);
printf("\n\nWelcome to Microwatt !\n\n");
ddram_cs_n : out std_ulogic;
ddram_dm : out std_ulogic_vector(1 downto 0);
ddram_dq : inout std_ulogic_vector(15 downto 0);
- ddram_dqs_p : out std_ulogic_vector(1 downto 0);
- ddram_dqs_n : out std_ulogic_vector(1 downto 0);
+ ddram_dqs_p : inout std_ulogic_vector(1 downto 0);
+ ddram_dqs_n : inout std_ulogic_vector(1 downto 0);
ddram_clk_p : out std_ulogic;
ddram_clk_n : out std_ulogic;
ddram_cke : out std_ulogic;
ddram_cs_n : out std_ulogic;
ddram_dm : out std_ulogic_vector(1 downto 0);
ddram_dq : inout std_ulogic_vector(15 downto 0);
- ddram_dqs_p : out std_ulogic_vector(1 downto 0);
- ddram_dqs_n : out std_ulogic_vector(1 downto 0);
+ ddram_dqs_p : inout std_ulogic_vector(1 downto 0);
+ ddram_dqs_n : inout std_ulogic_vector(1 downto 0);
ddram_clk_p : out std_ulogic;
ddram_clk_n : out std_ulogic;
ddram_cke : out std_ulogic;
csr_port0_we : in std_ulogic;
csr_port0_dat_w : in std_ulogic_vector(7 downto 0);
csr_port0_dat_r : out std_ulogic_vector(7 downto 0);
- user_port0_cmd_valid : in std_ulogic;
- user_port0_cmd_ready : out std_ulogic;
- user_port0_cmd_we : in std_ulogic;
- user_port0_cmd_addr : in std_ulogic_vector(DRAM_ABITS-1 downto 0);
- user_port0_wdata_valid : in std_ulogic;
- user_port0_wdata_ready : out std_ulogic;
- user_port0_wdata_we : in std_ulogic_vector(15 downto 0);
- user_port0_wdata_data : in std_ulogic_vector(127 downto 0);
- user_port0_rdata_valid : out std_ulogic;
- user_port0_rdata_ready : in std_ulogic;
- user_port0_rdata_data : out std_ulogic_vector(127 downto 0)
+ user_port_native_0_cmd_valid : in std_ulogic;
+ user_port_native_0_cmd_ready : out std_ulogic;
+ user_port_native_0_cmd_we : in std_ulogic;
+ user_port_native_0_cmd_addr : in std_ulogic_vector(DRAM_ABITS-1 downto 0);
+ user_port_native_0_wdata_valid : in std_ulogic;
+ user_port_native_0_wdata_ready : out std_ulogic;
+ user_port_native_0_wdata_we : in std_ulogic_vector(15 downto 0);
+ user_port_native_0_wdata_data : in std_ulogic_vector(127 downto 0);
+ user_port_native_0_rdata_valid : out std_ulogic;
+ user_port_native_0_rdata_ready : in std_ulogic;
+ user_port_native_0_rdata_data : out std_ulogic_vector(127 downto 0)
);
end component;
constant INIT_RAM_SIZE : integer := 16384;
constant INIT_RAM_ABITS :integer := 14;
- constant INIT_RAM_FILE : string := "sdram_init.hex";
+ constant INIT_RAM_FILE : string := "litedram_core.init";
type ram_t is array(0 to (INIT_RAM_SIZE / 8) - 1) of std_logic_vector(63 downto 0);
end if;
wb_init_out.ack <= not wb_init_out.ack;
end if;
- end if;
+ end if;
end process;
wb_init_in.adr <= wb_in.adr;
-- DRAM CSR interface signals. We only support access to the bottom byte
csr_valid <= wb_in.cyc and wb_in.stb and wb_is_csr;
csr_write_valid <= wb_in.we and wb_in.sel(0);
- csr_port0_adr <= wb_in.adr(15 downto 3) & '0' when wb_is_csr = '1' else (others => '0');
+ csr_port0_adr <= wb_in.adr(13 downto 0) when wb_is_csr = '1' else (others => '0');
csr_port0_dat_w <= wb_in.dat(7 downto 0);
csr_port0_we <= (csr_valid and csr_write_valid) when state = CMD else '0';
csr_port0_we => csr_port0_we,
csr_port0_dat_w => csr_port0_dat_w,
csr_port0_dat_r => csr_port0_dat_r,
- user_port0_cmd_valid => user_port0_cmd_valid,
- user_port0_cmd_ready => user_port0_cmd_ready,
- user_port0_cmd_we => user_port0_cmd_we,
- user_port0_cmd_addr => user_port0_cmd_addr,
- user_port0_wdata_valid => user_port0_wdata_valid,
- user_port0_wdata_ready => user_port0_wdata_ready,
- user_port0_wdata_we => user_port0_wdata_we,
- user_port0_wdata_data => user_port0_wdata_data,
- user_port0_rdata_valid => user_port0_rdata_valid,
- user_port0_rdata_ready => user_port0_rdata_ready,
- user_port0_rdata_data => user_port0_rdata_data
+ user_port_native_0_cmd_valid => user_port0_cmd_valid,
+ user_port_native_0_cmd_ready => user_port0_cmd_ready,
+ user_port_native_0_cmd_we => user_port0_cmd_we,
+ user_port_native_0_cmd_addr => user_port0_cmd_addr,
+ user_port_native_0_wdata_valid => user_port0_wdata_valid,
+ user_port_native_0_wdata_ready => user_port0_wdata_ready,
+ user_port_native_0_wdata_we => user_port0_wdata_we,
+ user_port_native_0_wdata_data => user_port0_wdata_data,
+ user_port_native_0_rdata_valid => user_port0_rdata_valid,
+ user_port_native_0_rdata_ready => user_port0_rdata_ready,
+ user_port_native_0_rdata_data => user_port0_rdata_data
);
end architecture behaviour;
+++ /dev/null
-vexriscv
\ No newline at end of file
component litedram_core port (
clk : in std_ulogic;
rst : in std_ulogic;
- serial_tx : out std_ulogic;
- serial_rx : in std_ulogic;
pll_locked : out std_ulogic;
ddram_a : out std_ulogic_vector(DRAM_ALINES-1 downto 0);
ddram_ba : out std_ulogic_vector(2 downto 0);
init_error : out std_ulogic;
user_clk : out std_ulogic;
user_rst : out std_ulogic;
+ csr_port0_adr : in std_ulogic_vector(13 downto 0);
+ csr_port0_we : in std_ulogic;
+ csr_port0_dat_w : in std_ulogic_vector(7 downto 0);
+ csr_port0_dat_r : out std_ulogic_vector(7 downto 0);
user_port_native_0_cmd_valid : in std_ulogic;
user_port_native_0_cmd_ready : out std_ulogic;
user_port_native_0_cmd_we : in std_ulogic;
signal dram_user_reset : std_ulogic;
- type state_t is (CMD, MWRITE, MREAD);
+ signal csr_port0_adr : std_ulogic_vector(13 downto 0);
+ signal csr_port0_we : std_ulogic;
+ signal csr_port0_dat_w : std_ulogic_vector(7 downto 0);
+ signal csr_port0_dat_r : std_ulogic_vector(7 downto 0);
+ signal csr_port_read_comb : std_ulogic_vector(63 downto 0);
+ signal csr_valid : std_ulogic;
+ signal csr_write_valid : std_ulogic;
+
+ signal wb_init_in : wishbone_master_out;
+ signal wb_init_out : wishbone_slave_out;
+
+ type state_t is (CMD, MWRITE, MREAD, CSR);
signal state : state_t;
+ constant INIT_RAM_SIZE : integer := 16384;
+ constant INIT_RAM_ABITS :integer := 14;
+ constant INIT_RAM_FILE : string := "litedram_core.init";
+
+ type ram_t is array(0 to (INIT_RAM_SIZE / 8) - 1) of std_logic_vector(63 downto 0);
+
+ impure function init_load_ram(name : string) return ram_t is
+ file ram_file : text open read_mode is name;
+ variable temp_word : std_logic_vector(63 downto 0);
+ variable temp_ram : ram_t := (others => (others => '0'));
+ variable ram_line : line;
+ begin
+ for i in 0 to (INIT_RAM_SIZE/8)-1 loop
+ exit when endfile(ram_file);
+ readline(ram_file, ram_line);
+ hread(ram_line, temp_word);
+ temp_ram(i) := temp_word;
+ end loop;
+ return temp_ram;
+ end function;
+
+ signal init_ram : ram_t := init_load_ram(INIT_RAM_FILE);
+
+ attribute ram_style : string;
+ attribute ram_style of init_ram: signal is "block";
+
begin
- -- Address bit 3 selects the top or bottom half of the data
+ -- BRAM Memory slave
+ init_ram_0: process(system_clk)
+ variable adr : integer;
+ begin
+ if rising_edge(system_clk) then
+ wb_init_out.ack <= '0';
+ if (wb_init_in.cyc and wb_init_in.stb) = '1' then
+ adr := to_integer((unsigned(wb_init_in.adr(INIT_RAM_ABITS-1 downto 3))));
+ if wb_init_in.we = '0' then
+ wb_init_out.dat <= init_ram(adr);
+ else
+ for i in 0 to 7 loop
+ if wb_init_in.sel(i) = '1' then
+ init_ram(adr)(((i + 1) * 8) - 1 downto i * 8) <=
+ wb_init_in.dat(((i + 1) * 8) - 1 downto i * 8);
+ end if;
+ end loop;
+ end if;
+ wb_init_out.ack <= not wb_init_out.ack;
+ end if;
+ end if;
+ end process;
+
+ wb_init_in.adr <= wb_in.adr;
+ wb_init_in.dat <= wb_in.dat;
+ wb_init_in.sel <= wb_in.sel;
+ wb_init_in.we <= wb_in.we;
+ wb_init_in.stb <= wb_in.stb;
+ wb_init_in.cyc <= wb_in.cyc and wb_is_init;
+
+ -- Address bit 3 selects the top or bottom half of the data
-- bus (64-bit wishbone vs. 128-bit DRAM interface)
--
ad3 <= wb_in.adr(3);
- -- DRAM interface signals
+ -- DRAM data interface signals
user_port0_cmd_valid <= (wb_in.cyc and wb_in.stb and not wb_is_csr and not wb_is_init)
when state = CMD else '0';
user_port0_cmd_we <= wb_in.we when state = CMD else '0';
user_port0_wdata_we <= wb_in.sel & "00000000" when ad3 = '1' else
"00000000" & wb_in.sel;
- -- Wishbone out signals. CSR and init memory do nothing, just ack
- wb_out.ack <= '1' when (wb_is_csr = '1' or wb_is_init = '1') else
+ -- DRAM CSR interface signals. We only support access to the bottom byte
+ csr_valid <= wb_in.cyc and wb_in.stb and wb_is_csr;
+ csr_write_valid <= wb_in.we and wb_in.sel(0);
+ csr_port0_adr <= wb_in.adr(13 downto 0) when wb_is_csr = '1' else (others => '0');
+ csr_port0_dat_w <= wb_in.dat(7 downto 0);
+ csr_port0_we <= (csr_valid and csr_write_valid) when state = CMD else '0';
+
+ -- Wishbone out signals
+ wb_out.ack <= '1' when state = CSR else
+ wb_init_out.ack when wb_is_init = '1' else
user_port0_wdata_ready when state = MWRITE else
user_port0_rdata_valid when state = MREAD else '0';
- wb_out.dat <= (others => '0') when (wb_is_csr = '1' or wb_is_init = '1') else
+
+ csr_port_read_comb <= x"00000000000000" & csr_port0_dat_r;
+ wb_out.dat <= csr_port_read_comb when wb_is_csr = '1' else
+ wb_init_out.dat when wb_is_init = '1' else
user_port0_rdata_data(127 downto 64) when ad3 = '1' else
user_port0_rdata_data(63 downto 0);
+ -- We don't do pipelining yet.
wb_out.stall <= '0' when wb_in.cyc = '0' else not wb_out.ack;
- -- Reset, lift it when init done, no alt core reset
- system_reset <= dram_user_reset or not init_done;
- core_alt_reset <= '0';
+ -- Reset ignored, the reset controller use the pll lock signal,
+ -- and alternate core reset address set when DRAM is not initialized.
+ --
+ system_reset <= '0';
+ core_alt_reset <= not init_done;
-- State machine
sm: process(system_clk)
else
case state is
when CMD =>
- if (user_port0_cmd_ready and user_port0_cmd_valid) = '1' then
+ if csr_valid = '1' then
+ state <= CSR;
+ elsif (user_port0_cmd_ready and user_port0_cmd_valid) = '1' then
state <= MWRITE when wb_in.we = '1' else MREAD;
end if;
when MWRITE =>
if user_port0_rdata_valid = '1' then
state <= CMD;
end if;
+ when CSR =>
+ state <= CMD;
end case;
end if;
end if;
port map(
clk => clk_in,
rst => rst,
- serial_tx => serial_tx,
- serial_rx => serial_rx,
pll_locked => pll_locked,
ddram_a => ddram_a,
ddram_ba => ddram_ba,
init_error => init_error,
user_clk => system_clk,
user_rst => dram_user_reset,
+ csr_port0_adr => csr_port0_adr,
+ csr_port0_we => csr_port0_we,
+ csr_port0_dat_w => csr_port0_dat_w,
+ csr_port0_dat_r => csr_port0_dat_r,
user_port_native_0_cmd_valid => user_port0_cmd_valid,
user_port_native_0_cmd_ready => user_port0_cmd_ready,
user_port_native_0_cmd_we => user_port0_cmd_we,
-b00006f
-13
-13
-13
-13
-13
-13
-13
-fe112e23
-fe512c23
-fe612a23
-fe712823
-fea12623
-feb12423
-fec12223
-fed12023
-fce12e23
-fcf12c23
-fd012a23
-fd112823
-fdc12623
-fdd12423
-fde12223
-fdf12023
-fc010113
-94000ef
-3c12083
-3812283
-3412303
-3012383
-2c12503
-2812583
-2412603
-2012683
-1c12703
-1812783
-1412803
-1012883
-c12e03
-812e83
-412f03
-12f83
-4010113
-30200073
-1001117
-f4c10113
-517
-f6850513
-30551073
-1000517
-f3c50513
-1000597
-5bc58593
-b50863
-52023
-450513
-ff5ff06f
-1537
-88050513
-30451073
-6c010ef
-6f
-fc002773
-bc0027f3
-e7f7b3
-17f793
-78463
-1d10306f
-8067
-82002737
-2872783
-2c72503
-879793
-a7e7b3
-3072503
-879793
-a7e7b3
-3472503
-879793
-a7e533
-8067
-cc0027f3
-c79693
-147d713
-c6d693
-793
-d7e463
-8067
-78513
-7005500f
-e787b3
-fedff06f
-13
-fff50513
-fe051ce3
-8067
-100713
-820037b7
-a71533
-80a7aa23
-80e7ac23
-8007aa23
-8067
-100713
-820037b7
-a71533
-80a7aa23
-80e7ae23
-8007aa23
-8067
-793
-400693
-f58633
-64603
-279713
-a70733
-c72023
-178793
-fed794e3
-8067
-793
-400693
-279713
-a70733
-72603
-f58733
-178793
-c70023
-fed794e3
-8067
-f9010113
-6912223
-50493
-4537
-45850513
-5512a23
-6112623
-6812423
-7212023
-5312e23
-5412c23
-5612823
-5712623
-5812423
-5912223
-5a12023
-3b12e23
-7a4030ef
-2010713
-80200637
-3010593
-2a00793
-70a93
-360613
-400513
-693
-17d813
-17f793
-40f007b3
-c7f7b3
-107c7b3
-d70833
-f80023
-168693
-fea690e3
-470713
-fcb71ae3
-82003437
-42623
-42823
-900793
-42a23
-f42223
-100913
-1242423
-f00513
-eb1ff0ef
-a8593
-1840513
-eedff0ef
-2410593
-4c40513
-ee1ff0ef
-2810593
-8040513
-ed5ff0ef
-2c10593
-b440513
-ec9ff0ef
-a042423
-a042623
-a042823
-1700793
-af42023
-b242223
-6042a23
-6042c23
-6042e23
-48513
-e65ff0ef
-3010793
-100d13
-409d0b33
-4a37
-40978bb3
-913
-438a0a13
-1678c33
-3b8b93
-820037b7
-2500713
-6e7a623
-7a7a823
-f00513
-e19ff0ef
-16a89b3
-413
-100c93
-8a07b3
-7a503
-1c10593
-e6dff0ef
-9c703
-fecc4783
-f71863
-29c703
-fecbc783
-f70463
-c93
-440413
-1000793
-498993
-fcf414e3
-190413
-20c9063
-2000793
-f40a63
-48513
-de5ff0ef
-40913
-f85ff06f
-2000913
-48513
-dd1ff0ef
-3010793
-82003cb7
-40978bb3
-190413
-70c8d13
-100d93
-3b8b93
-2500793
-6fca623
-1bd2023
-f00513
-d75ff0ef
-993
-100793
-13a0733
-72503
-1c10593
-f12623
-dc9ff0ef
-13b0733
-ea8733
-74603
-fecc4703
-c12783
-1000693
-e61c63
-40998733
-ea8733
-374603
-fecbc703
-e60463
-793
-498993
-fad99ae3
-78e63
-140413
-1f00793
-87c863
-48513
-d31ff0ef
-f7dff06f
-8909b3
-2000793
-4019d993
-8f91263
-4537
-46450513
-564030ef
-48513
-cedff0ef
-413
-9344663
-820037b7
-7a623
-7a823
-7aa23
-b00713
-e7a223
-100713
-e7a423
-f00513
-cadff0ef
-6c12083
-6812403
-6412483
-6012903
-5c12983
-5812a03
-5412a83
-5012b03
-4c12b83
-4812c03
-4412c83
-4012d03
-3c12d83
-7010113
-8067
-41240433
-1f45613
-860633
-4537
-40165613
-98593
-46850513
-4d0030ef
-f6dff06f
-48513
-c71ff0ef
-140413
-f69ff06f
-820037b7
-e00713
-4537
-e7a023
-47450513
-4a40306f
-820037b7
-100713
-4537
-e7a023
-49850513
-48c0306f
-2051663
-820037b7
-7a623
-7a823
-7aa23
-b00713
-e7a223
-100713
-e7a423
-f00513
-be1ff06f
-1051713
-1075713
-820037b7
-875713
-1051513
-e7a623
-1055513
-a7a823
-7aa23
-900713
-fc5ff06f
-fd010113
-2112623
-2812423
-2912223
-3212023
-1312e23
-1412c23
-1512a23
-1612823
-8054663
-100493
-40a484b3
-200993
-4437
-43840413
-1040a13
-4ab7
-300b13
-42503
-c10593
-48913
-bcdff0ef
-c10793
-12787b3
-7c583
-4bca8513
-1390933
-3c4030ef
-ff2b54e3
-440413
-fc8a18e3
-5537
-96c50513
-3ac030ef
-2c12083
-2812403
-2412483
-2012903
-1c12983
-1812a03
-1412a83
-1012b03
-3010113
-8067
-100993
-493
-f7dff06f
-1051713
-fe010113
-1075713
-112e23
-b12623
-820037b7
-875713
-1051513
-6e7aa23
-1055513
-6a7ac23
-607ae23
-2500713
-6e7a623
-100713
-f00513
-6e7a823
-ab1ff0ef
-c12583
-1c12083
-58513
-2010113
-eedff06f
-f8010113
-6912a23
-3010493
-7412423
-6112e23
-6812c23
-7212823
-7312623
-7512223
-7612023
-5712e23
-5812c23
-5912a23
-5a12823
-5b12623
-a12423
-4010a13
-48793
-78023
-780a3
-78123
-781a3
-478793
-ff4796e3
-82003437
-49b7
-913
-6c40a93
-2500c13
-2500c93
-100b13
-43898993
-6042a23
-7242c23
-6042e23
-19aa023
-f00513
-7642823
-a01ff0ef
-2010593
-2840513
-a65ff0ef
-2410593
-5c40513
-a59ff0ef
-2810593
-9040513
-a4dff0ef
-2c10593
-c440513
-a41ff0ef
-b93
-400d13
-1000d93
-812783
-cfbc663
-890913
-8000793
-f8f91ce3
-4937
-400993
-413
-8487b3
-7c583
-4bc90513
-140413
-210030ef
-ff3416e3
-448493
-ff4490e3
-5437
-96c40513
-1f8030ef
-400913
-44b7
-100593
-4c448513
-1e4030ef
-593
-4c448513
-1d8030ef
-100593
-4c448513
-1cc030ef
-593
-4c448513
-fff90913
-1bc030ef
-fc0916e3
-96c40513
-1b0030ef
-7c12083
-7812403
-7412483
-7012903
-6c12983
-6812a03
-6412a83
-6012b03
-5c12b83
-5812c03
-5412c83
-5012d03
-4c12d83
-8010113
-8067
-18aa023
-7642823
-f00513
-8e5ff0ef
-793
-f98733
-72503
-1c10593
-f12623
-93dff0ef
-c12783
-2010713
-693
-9785b3
-f70633
-1c10713
-d70733
-74503
-64703
-5c883
-a60023
-e54733
-1176733
-e58023
-168693
-158593
-160613
-fda698e3
-478793
-fbb790e3
-1b8b93
-eb5ff06f
-fd010113
-2912223
-44b7
-2812423
-3212023
-1312e23
-2112623
-50913
-44848493
-413
-4000993
-140793
-f106a3
-4a503
-240793
-810623
-f10723
-340793
-1040413
-c10593
-ff47413
-f107a3
-448493
-85dff0ef
-fd3416e3
-1091713
-1075713
-820037b7
-875713
-1091913
-ae7a423
-1095913
-b27a623
-a07a823
-1700713
-2c12083
-2812403
-ae7a023
-100713
-ae7a223
-2412483
-2012903
-1c12983
-3010113
-8067
-ff010113
-400007b7
-aaaab737
-112623
-812423
-912223
-1212023
-aaa70713
-20078693
-e7a023
-478793
-fed79ce3
-f60ff0ef
-44030ef
-400007b7
-aaaab737
-413
-aaa70713
-20078693
-7a603
-e60463
-140413
-478793
-fed798e3
-400007b7
-55555737
-55570713
-20078693
-e7a023
-478793
-fed79ce3
-f14ff0ef
-7f9020ef
-400007b7
-55555737
-55570713
-20078693
-7a603
-e60463
-140413
-478793
-fed798e3
-40c63
-4537
-10000613
-40593
-4c850513
-781020ef
-802006b7
-40000737
-100793
-368693
-40200637
-17d593
-17f793
-40f007b3
-d7f7b3
-b7c7b3
-f72023
-470713
-fec712e3
-e9cff0ef
-781020ef
-802006b7
-40000737
-493
-100793
-368693
-40200637
-17d593
-17f793
-40f007b3
-d7f7b3
-b7c7b3
-72583
-f58463
-148493
-470713
-fcc71ee3
-48c63
-4537
-80637
-48593
-4ec50513
-6ed020ef
-400006b7
-793
-868693
-2637
-279713
-d70733
-f72023
-178793
-fec798e3
-e18ff0ef
-6fd020ef
-40000737
-106b7
-593
-793
-870713
-fff68693
-2537
-279613
-e60633
-62603
-d67633
-f60463
-158593
-178793
-fea792e3
-2058a63
-4537
-2637
-51050513
-671020ef
-593
-c12083
-812403
-412483
-12903
-58513
-1010113
-8067
-940433
-fe0410e3
-4537
-53450513
-63d020ef
-820027b7
-207a023
-7a823
-7aa23
-7ac23
-7ae23
-ff00713
-e7a023
-10737
-fff70713
-e7a223
-1000737
-fff70713
-e7a423
-fff00713
-e7a623
-100713
-2e7a023
-2e7a223
-d10ff0ef
-50913
-400006b7
-80737
-241793
-d787b3
-87a023
-140413
-fee418e3
-82002437
-100493
-2942223
-ce0ff0ef
-40a905b3
-64000537
-5f8030ef
-50913
-cfcff0ef
-5e1020ef
-2942023
-2942223
-cbcff0ef
-50413
-400007b7
-40200737
-7a683
-478793
-fee79ce3
-820027b7
-100713
-2e7a223
-c94ff0ef
-40a405b3
-64000537
-5ac030ef
-50613
-4537
-90593
-54050513
-551020ef
-100593
-ee1ff06f
-f9010113
-6112623
-6812423
-6912223
-5312e23
-5812423
-7212023
-5412c23
-5512a23
-5612823
-5712623
-5912223
-5a12023
-3b12e23
-855ff0ef
-82003437
-100493
-513
-c8cff0ef
-80942a23
-82942023
-80042a23
-100513
-c78ff0ef
-200793
-80f42a23
-82942023
-4537
-80042a23
-56850513
-4cd020ef
-47b7
-43878793
-1c10993
-413
-f12223
-5c37
-802007b7
-100913
-378793
-891933
-a93
-b93
-493
-f12023
-2c0006f
-48a93
-a0b93
-700793
-1ef48863
-820037b7
-8127aa23
-100713
-82e7a223
-8007aa23
-148493
-3010613
-2010693
-2a00793
-400593
-713
-12803
-17d513
-17f793
-40f007b3
-107f7b3
-a7c7b3
-e68533
-f50023
-170713
-fcb71ee3
-468693
-fcd618e3
-82003a37
-a2623
-a2823
-900793
-a2a23
-fa2223
-100b13
-16a2423
-f00513
-b7cff0ef
-18a0513
-2010593
-bb8ff0ef
-4ca0513
-2410593
-bacff0ef
-80a0513
-2810593
-ba0ff0ef
-b4a0513
-2c10593
-b94ff0ef
-a0a2423
-a0a2623
-a0a2823
-1700793
-afa2023
-b6a2223
-60a2a23
-60a2c23
-47b7
-60a2e23
-48613
-40593
-57878513
-395020ef
-40513
-b1cff0ef
-2000b13
-a13
-82003cb7
-100d93
-2500793
-6fca623
-820037b7
-7b7a823
-f00513
-ae4ff0ef
-2110793
-408786b3
-713
-100d13
-412783
-1c10593
-d12623
-e78633
-62503
-e12423
-b28ff0ef
-c12683
-19c603
-812703
-6c583
-1000813
-c59863
-26c583
-39c603
-c58463
-d13
-470713
-468693
-fb071ae3
-47b7
-d0593
-58478513
-2f5020ef
-40513
-fffb0b13
-1aa0a33
-a90ff0ef
-f60b14e3
-4537
-58850513
-2d5020ef
-820037b7
-7a623
-7a823
-7aa23
-b00713
-e7a223
-100713
-e7a423
-f00513
-a2cff0ef
-40513
-abcff0ef
-96cc0513
-29d020ef
-e14bc6e3
-e11ff06f
-4537
-a8613
-40593
-58c50513
-281020ef
-820037b7
-8127aa23
-100713
-82e7a023
-8007aa23
-82003737
-793
-100693
-3579263
-40513
-a6cff0ef
-96cc0513
-24d020ef
-fff98993
-2041063
-100413
-d8dff06f
-81272a23
-82d72223
-80072a23
-178793
-fcdff06f
-6c12083
-6812403
-6412483
-6012903
-5c12983
-5812a03
-5412a83
-5012b03
-4c12b83
-4812c03
-4412c83
-4012d03
-3c12d83
-100513
-7010113
-8067
-fe010113
-4537
-1212823
-59c50513
-82004937
-112e23
-812c23
-912a23
-1312623
-1c1020ef
-80092023
-82003437
-80092223
-42623
-42823
-c00793
-42a23
-c537
-f42023
-35050513
-914ff0ef
-42623
-42823
-e00793
-42a23
-2537
-f42023
-71050513
-8f4ff0ef
-200793
-f42623
-20000713
-e42823
-f42a23
-f00793
-f42223
-100493
-942423
-42623
-42823
-300993
-1342a23
-f42223
-942423
-42623
-600713
-e42823
-942a23
-f42223
-942423
-900713
-e42623
-1737
-92070713
-e42823
-42a23
-f42223
-c800513
-942423
-878ff0ef
-400793
-f42623
-40000793
-f42823
-42a23
-1342223
-c800513
-942423
-854ff0ef
-b8dff0ef
-c2cff0ef
-88dff0ef
-80992023
-2051263
-80992223
-1c12083
-1812403
-1412483
-1012903
-c12983
-2010113
-8067
-100513
-fe1ff06f
-f7010113
-8112623
-8812423
-8912223
-9212023
-7312e23
-793
-bc079073
-30046073
-5437
-315020ef
-96c40513
-65020ef
-4537
-5b450513
-59020ef
-4537
-5dc50513
-4d020ef
-4537
-60450513
-41020ef
-4537
-62850513
-35020ef
-4537
-64c50513
-29020ef
-96c40513
-21020ef
-4537
-67850513
-15020ef
-4537
-6a050513
-9020ef
-96c40513
-1020ef
-4537
-6c450513
-7f4020ef
-684000ef
-96c40513
-7e8020ef
-4537
-6ec50513
-7dc020ef
-4537
-70850513
-7d0020ef
-96c40513
-7c8020ef
-4537
-72450513
-7bc020ef
-45b7
-4537
-6400613
-75858593
-76450513
-7a4020ef
-4537
-1800593
-78450513
-794020ef
-4537
-400593
-7a050513
-784020ef
-4537
-593
-7bc50513
-774020ef
-4537
-45b7
-7d850513
-764020ef
-96c40513
-75c020ef
-4537
-7f450513
-750020ef
-d69ff0ef
-100793
-50493
-f50863
-5537
-82850513
-734020ef
-96c40513
-72c020ef
-2048663
-5537
-84850513
-71c020ef
-a0000ef
-50863
-5537
-87c50513
-708020ef
-96c40513
-700020ef
-5537
-89450513
-6f4020ef
-1a8010ef
-5937
-54b7
-8c890593
-8dc48513
-6dc020ef
-59b7
-4000593
-3010513
-1c4010ef
-3014783
-2078c63
-96c40513
-6bc020ef
-1010613
-c10593
-3010513
-5b4000ef
-50593
-c12503
-1010613
-638000ef
-51663
-8e098513
-690020ef
-8c890593
-8dc48513
-684020ef
-fadff06f
-68067
-5537
-ec010113
-92c50513
-12112e23
-12812c23
-12912a23
-13212823
-13312623
-13412423
-13512223
-13612023
-11712e23
-11812c23
-644020ef
-5537
-5437
-94450513
-90c40493
-630020ef
-90c40413
-4c503
-8051e63
-820027b7
-207a023
-7a823
-7aa23
-7ac23
-7ae23
-100713
-e7a023
-17d00693
-d7a223
-186b7
-d7868693
-d7a423
-17d86b7
-84068693
-d7a623
-2e7a023
-2e7a223
-b13
-82002ab7
-5100493
-1b00913
-e00993
-100a13
-28aa783
-2caa703
-879793
-e7e7b3
-30aa703
-879793
-e7e7b3
-34aa703
-879793
-e7e7b3
-79e63
-5537
-9f450513
-e00006f
-7b4020ef
-148493
-f59ff06f
-78c020ef
-c050e63
-730020ef
-28950863
-29250663
-16407b3
-107c783
-aa79e63
-1b0b13
-b3b1e63
-54b7
-a93
-300993
-100913
-500413
-8f448493
-82000a37
-6f4020ef
-a10623
-6ec020ef
-a106a3
-6e4020ef
-a10723
-c10b93
-6d8020ef
-a107a3
-b8c13
-b13
-c14583
-1c0c13
-6bb4863
-f14783
-f378063
-e14783
-d14703
-158593
-879793
-e7e7b3
-879b13
-87d793
-fb67b3
-1079b13
-f10513
-10b5b13
-140020ef
-5650c63
-6d4020ef
-2051e63
-1a8a93
-28a9e63
-5537
-97050513
-4ac020ef
-580006f
-f8650513
-153b13
-34aa223
-ed9ff06f
-650020ef
-ac01a3
-1b0b13
-f7dff06f
-640020ef
-fbdff06f
-4300513
-6a4020ef
-f3dff06f
-f14783
-16f46e63
-279793
-9787b3
-7a783
-78067
-4b00513
-680020ef
-100513
-13c12083
-13812403
-13412483
-13012903
-12c12983
-12812a03
-12412a83
-12012b03
-11c12b83
-11812c03
-14010113
-8067
-1014783
-1114703
-1879793
-1071713
-e7e7b3
-1314703
-e7e7b3
-1214703
-871713
-e7e7b3
-ffc78793
-400713
-c14683
-e78633
-1b8b93
-d74c63
-f14783
-a93
-4b00513
-e9279ce3
-f55ff06f
-7bc683
-170713
-d60023
-fd1ff06f
-1014403
-1114783
-4b00513
-1841413
-1079793
-f46433
-1314783
-f46433
-1214783
-879793
-f46433
-5b8020ef
-5537
-40593
-99850513
-37c020ef
-5537
-9c050513
-370020ef
-65c020ef
-793
-bc079073
-30047073
-100f
-13
-13
-13
-13
-13
-cc0027f3
-c79693
-147d713
-c6d693
-793
-2d7e063
-368020ef
-40693
-613
-593
-513
-c9dff0ef
-6f
-78513
-7005500f
-e787b3
-fd5ff06f
-4b00513
-528020ef
-12a2023
-dbdff06f
-1a8a93
-e28a8ce3
-5500513
-e6dff06f
-5537
-a0050513
-2d8020ef
-513
-e85ff06f
-fd010113
-3212023
-50913
-5537
-a0c50513
-2912223
-1412c23
-1512a23
-1612823
-1712623
-1812423
-2112623
-2812423
-1312e23
-1912223
-58493
-60a13
-5b37
-204020ef
-5ab7
-5bb7
-5c37
-4904063
-2812403
-2c12083
-2412483
-2012903
-1c12983
-1812a03
-1412a83
-1012b03
-c12b83
-812c03
-412c83
-5537
-96c50513
-3010113
-2380206f
-1000793
-48413
-97d463
-1000413
-5537
-a0593
-a1c50513
-218020ef
-993
-5cb7
-13907b3
-7c583
-a28c8513
-198993
-1fc020ef
-ff3416e3
-40993
-1000c93
-5999a63
-a24a8513
-1e4020ef
-993
-5e00c93
-13907b3
-7c583
-fe058793
-ff7f793
-4fcf063
-a34c0513
-1c0020ef
-198993
-ff3410e3
-40993
-1000c93
-3999863
-890933
-408484b3
-8a0a33
-f29ff06f
-a30b0513
-194020ef
-198993
-fa1ff06f
-a38b8513
-184020ef
-fc5ff06f
-a24a8513
-178020ef
-198993
-fc5ff06f
-ff010113
-65b7
-812423
-793
-ae05a403
-ae058593
-40f585b3
-513
-112623
-60d010ef
-2a41063
-40593
-812403
-c12083
-5537
-a3c50513
-1010113
-1280206f
-50613
-5537
-40593
-a5850513
-114020ef
-812403
-c12083
-5537
-a8450513
-1010113
-fc0206f
-60793
-2060713
-7a023
-478793
-fef71ce3
-a5a023
-2000713
-54783
-4e78e63
-79663
-513
-8067
-150513
-fe9ff06f
-178793
-2c0006f
-78023
-68513
-178793
-7c683
-fee68ce3
-2068e63
-150693
-251513
-a60533
-f52023
-7c583
-fce58ae3
-fc0594e3
-68513
-8067
-150793
-50023
-2000713
-513
-fc1ff06f
-8067
-fd010113
-2812423
-2912223
-6437
-64b7
-3212023
-1312e23
-2112623
-50913
-58993
-94840413
-99848493
-941663
-513
-380006f
-42783
-90513
-c12623
-47a583
-2c8010ef
-c12603
-2051c63
-42783
-98513
-60593
-7a783
-780e7
-42503
-2c12083
-2812403
-2412483
-2012903
-1c12983
-3010113
-8067
-440413
-fa1ff06f
-cc0027f3
-c79693
-147d713
-c6d693
-793
-d7e463
-8067
-78513
-7005500f
-e787b3
-fedff06f
-820007b7
-100713
-e7a023
-8067
-fe010113
-5537
-1212823
-1312623
-ab850513
-6937
-49b7
-912a23
-1412423
-1512223
-1612023
-112e23
-812c23
-493
-69d010ef
-6a37
-99890913
-5ab7
-46498993
-5b37
-713
-948a0413
-300006f
-42783
-c7a683
-2969063
-87a603
-47a583
-61463
-98613
-adca8513
-719010ef
-100713
-440413
-fd241ae3
-70663
-96cb0513
-701010ef
-148493
-a00793
-faf498e3
-1c12083
-1812403
-1412483
-1012903
-c12983
-812a03
-412a83
-12b03
-2010113
-8067
-ef010113
-10513
-10112623
-7a1010ef
-14783
-10593
-79663
-45b7
-46458593
-5537
-ae850513
-69d010ef
-10c12083
-11010113
-8067
-fe010113
-112e23
-812c23
-912a23
-100793
-2a7c263
-5537
-af450513
-66d010ef
-1c12083
-1812403
-1412483
-2010113
-8067
-58413
-42503
-613
-c10593
-410010ef
-c12783
-50493
-7c783
-78863
-5537
-b0c50513
-fbdff06f
-442503
-c10593
-613
-3e4010ef
-c12783
-50593
-7c783
-78863
-5537
-b2050513
-f91ff06f
-48513
-2b9010ef
-50593
-5537
-b3450513
-5e5010ef
-f79ff06f
-fd010113
-2812423
-2112623
-58413
-a04c63
-513
-93dfe0ef
-5537
-c2850513
-5b9010ef
-42503
-613
-1c10593
-374010ef
-1c12783
-7c783
-2078063
-5537
-c3450513
-591010ef
-2c12083
-2812403
-3010113
-8067
-a12623
-8f1fe0ef
-c12583
-5537
-c4450513
-569010ef
-fd9ff06f
-fff00513
-92dfe06f
-fe010113
-112e23
-812c23
-912a23
-1212823
-2a04463
-5537
-c5850513
-539010ef
-1c12083
-1812403
-1412483
-1012903
-2010113
-8067
-58413
-50493
-42503
-613
-c10593
-2d4010ef
-c12783
-50913
-7c783
-78863
-5537
-b0c50513
-fb5ff06f
-100793
-2f48863
-442503
-c10593
-613
-2a0010ef
-c12783
-50593
-7c783
-78a63
-5537
-c6850513
-f81ff06f
-fff00593
-90513
-939fe0ef
-f75ff06f
-fe010113
-112e23
-a04e63
-5537
-c7850513
-491010ef
-1c12083
-2010113
-8067
-58793
-7a503
-613
-c10593
-23c010ef
-c12783
-7c783
-78863
-5537
-c8c50513
-fc9ff06f
-93dfe0ef
-fc5ff06f
-fe010113
-112e23
-a04e63
-5537
-c9c50513
-439010ef
-1c12083
-2010113
-8067
-58793
-7a503
-613
-c10593
-1e4010ef
-c12783
-7c783
-78863
-5537
-b0c50513
-fc9ff06f
-b0dfe0ef
-fc5ff06f
-fd010113
-2112623
-2812423
-2912223
-3212023
-1312e23
-100793
-2a7c663
-5537
-dfc50513
-3cd010ef
-2c12083
-2812403
-2412483
-2012903
-1c12983
-3010113
-8067
-58413
-50493
-42503
-613
-c10593
-164010ef
-c12783
-50913
-7c783
-78863
-5537
-b0c50513
-fb1ff06f
-442503
-613
-c10593
-138010ef
-c12783
-50993
-7c783
-78863
-5537
-e1c50513
-f85ff06f
-200793
-100513
-2f48663
-842503
-613
-c10593
-100010ef
-c12783
-7c783
-78863
-5537
-c8c50513
-f51ff06f
-793
-279713
-1270733
-f4f502e3
-1372023
-178793
-fedff06f
-fd010113
-2112623
-2812423
-2912223
-3212023
-1312e23
-100793
-2a7c663
-5537
-e2c50513
-2d5010ef
-2c12083
-2812403
-2412483
-2012903
-1c12983
-3010113
-8067
-58413
-50493
-42503
-613
-c10593
-6c010ef
-c12783
-50993
-7c783
-78863
-5537
-e4450513
-fb1ff06f
-442503
-613
-c10593
-40010ef
-c12783
-50913
-7c783
-78863
-5537
-e6450513
-f85ff06f
-200793
-100513
-2f48663
-842503
-613
-c10593
-8010ef
-c12783
-7c783
-78863
-5537
-c8c50513
-f51ff06f
-793
-279713
-e986b3
-e90733
-f4f500e3
-72703
-178793
-e6a023
-fe5ff06f
-fe010113
-112e23
-812c23
-912a23
-1212823
-2a04463
-5537
-e8050513
-1dd010ef
-1c12083
-1812403
-1412483
-1012903
-2010113
-8067
-58413
-50493
-42503
-613
-c10593
-779000ef
-c12783
-50913
-7c783
-78863
-5537
-b0c50513
-fb5ff06f
-100793
-2f48863
-442503
-c10593
-613
-745000ef
-c12783
-50593
-7c783
-78a63
-5537
-e9850513
-f81ff06f
-400593
-90613
-90513
-e80ff0ef
-f71ff06f
-fb010113
-10007b7
-4812423
-3f878413
-5212023
-3312e23
-3412c23
-3512a23
-4112623
-4912223
-3612823
-3712623
-3812423
-3912223
-3a12023
-1b12e23
-50a93
-58a13
-28040993
-3f878713
-3f878913
-70023
-4070713
-ff371ce3
-64b7
-6b37
-94848493
-998b0b13
-a00b93
-7649663
-a8513
-455000ef
-57b7
-9bc78793
-fa2023
-40793
-7c703
-1e071063
-4078793
-ff379ae3
-513
-4c12083
-4812403
-4412483
-4012903
-3c12983
-3812a03
-3412a83
-3012b03
-2c12b83
-2812c03
-2412c83
-2012d03
-1c12d83
-5010113
-8067
-4a783
-a8513
-47a583
-b12623
-3e1000ef
-c12583
-50613
-a8513
-321000ef
-2051463
-40793
-7c703
-2071263
-4a783
-651513
-4000613
-47a583
-a90533
-2a5000ef
-448493
-f45ff06f
-150513
-4078793
-fd7518e3
-fedff06f
-178793
-4070713
-14d79063
-793
-1480006f
-40793
-713
-7c683
-68463
-170713
-4078793
-ff3798e3
-100793
-1000bb7
-513
-af70c63
-a091a63
-4ba503
-a050663
-5cb7
-96cc8513
-7ac010ef
-40b13
-a93
-b4783
-78c63
-b0513
-321000ef
-450513
-aad463
-50a93
-40b0b13
-ff3b10e3
-60a8463
-1a8593
-5000513
-74020ef
-50c13
-a00b13
-5d37
-5db7
-44783
-2078263
-1b0b13
-c0593
-b0513
-90020ef
-4051c63
-40593
-ae4d8513
-738010ef
-4040413
-fd341ae3
-c0593
-b0513
-6c020ef
-50663
-96cc8513
-718010ef
-ba223
-100513
-1248933
-90023
-9a2023
-4c783
-2079263
-100793
-fba223
-e51ff06f
-40613
-a8593
-ef4d0513
-6e0010ef
-fa9ff06f
-ba223
-e35ff06f
-10004b7
-3a048c23
-40713
-793
-3b848493
-a00693
-74603
-ea060ae3
-679793
-f907b3
-913
-a78733
-74683
-ea0688e3
-40713
-74603
-60a63
-a70633
-64603
-60863
-e8d61ae3
-4070713
-ff3712e3
-1248733
-d70023
-150513
-190913
-fc1ff06f
-fe010113
-812c23
-912a23
-1212823
-112e23
-50913
-60493
-6a403
-59663
-62603
-a861e63
-fff78793
-287f063
-1812403
-1c12083
-1412483
-1012903
-700513
-2010113
-4700106f
-140413
-86a023
-8058663
-4a583
-100793
-40b40433
-287f063
-158513
-a70533
-b705b3
-fff40613
-e12623
-2a1000ef
-c12703
-4a783
-5537
-40593
-f707b3
-1278023
-4a603
-f8c50513
-c70633
-5b8010ef
-4a783
-178793
-f4a023
-fff40413
-41e63
-1c12083
-1812403
-1412483
-1012903
-2010113
-8067
-800513
-3e0010ef
-fd9ff06f
-4a783
-5537
-100593
-f707b3
-1278023
-4a603
-f8c50513
-c70633
-55c010ef
-4a783
-178793
-f4a023
-fadff06f
-10007b7
-7aa23
-10007b7
-fff00713
-7a823
-10007b7
-e7a623
-10007b7
-7a423
-10007b7
-3878793
-28078713
-78023
-4078793
-fee79ce3
-8067
-fb010113
-3312e23
-3412c23
-3512a23
-3712623
-59b7
-5bb7
-5ab7
-1000a37
-4812423
-5212023
-3612823
-3812423
-4112623
-4912223
-3912223
-3a12023
-50413
-58b13
-12623
-12823
-100913
-fe498993
-efcb8c13
-9bca8a93
-38a0a13
-358010ef
-1b00793
-50713
-4f51863
-348010ef
-a10c23
-340010ef
-a10ca3
-a98533
-54783
-200493
-47f793
-a078463
-7e00d13
-500c93
-31c010ef
-1810793
-9787b3
-a78023
-148493
-9a50463
-ff9494e3
-fff00713
-ff77513
-a00793
-5af50063
-d00793
-58f50c63
-ff77793
-b00693
-38d78463
-12f6c663
-400693
-30d78863
-8f6cc63
-200693
-2ed78463
-26f6cc63
-100693
-26d78263
-1871713
-41875713
-f4074ce3
-f987b3
-7c783
-977f793
-f40784e3
-b0793
-40713
-1010693
-c10613
-90593
-d71ff0ef
-f2dff06f
-2010793
-9784b3
-fe048c23
-efcb8c93
-493
-1200d13
-ca583
-1810513
-658000ef
-51a63
-349493
-9c04b3
-44c703
-f51ff06f
-148493
-8c8c93
-fda49ce3
-f3dff06f
-600693
-22d78663
-32d7c063
-800693
-10d78063
-900693
-f6d794e3
-1012783
-1410593
-40513
-f407b3
-78023
-c12783
-f407b3
-7c483
-78023
-9fdff0ef
-c12783
-f407b3
-978023
-12051c63
-493
-1412783
-9787b3
-7c503
-e6050ee3
-b0793
-40713
-1010693
-c10613
-90593
-148493
-ca1ff0ef
-fd5ff06f
-1800693
-2ed78063
-6f6c663
-f00693
-2ad78c63
-1000693
-d78663
-e00693
-ecd79ce3
-10007b7
-1000693
-c7a703
-36d51a63
-2074a63
-fff70693
-d7a623
-fff00613
-c69863
-10006b7
-146a683
-d7a623
-10006b7
-c7a583
-106a683
-32d59263
-e7a623
-700513
-e8010ef
-de9ff06f
-8500693
-22d78463
-6f6ca63
-7f00693
-e6d79ae3
-c12583
-dc0586e3
-1012483
-fff58513
-a12623
-40b484b3
-48613
-b405b3
-a40533
-70c000ef
-800513
-a0010ef
-c12603
-5537
-48593
-c40633
-f8c50513
-22c010ef
-2000513
-80010ef
-fff00c93
-800513
-fff48493
-70010ef
-ff949ae3
-1600006f
-8900693
-22d78c63
-ff00693
-f89ff06f
-55b7
-5537
-40613
-8c858593
-f9450513
-1e4010ef
-ea048ae3
-493
-100006f
-800513
-2c010ef
-148493
-1012783
-c12703
-40e787b3
-fef4e4e3
-e8dff06f
-800513
-c010ef
-c12783
-fff78793
-f12623
-c12783
-fe0794e3
-cf9ff06f
-40023
-fff00913
-4c12083
-4812403
-90513
-4412483
-4012903
-3c12983
-3812a03
-3412a83
-3012b03
-2c12b83
-2812c03
-2412c83
-2012d03
-5010113
-8067
-c12783
-1012703
-cae7f4e3
-f407b3
-7c503
-799000ef
-c12783
-178793
-f12623
-c8dff06f
-c12783
-c80782e3
-800513
-779000ef
-c12783
-fff78793
-fe1ff06f
-c12503
-1012483
-c69572e3
-fff48493
-40a484b3
-2048863
-150593
-48613
-b405b3
-a40533
-5a4000ef
-c12603
-5537
-48593
-c40633
-f8c50513
-cc010ef
-2000513
-721000ef
-fff00c93
-800513
-fff48493
-711000ef
-ff949ae3
-1012783
-fff78793
-240006f
-c12483
-1012783
-bef4fce3
-1012783
-48c93
-f4ea63
-c12783
-197ee63
-f12823
-bddff06f
-2000513
-6d1000ef
-148493
-fd9ff06f
-800513
-6c1000ef
-fffc8c93
-fd5ff06f
-c12783
-1012583
-bab7f8e3
-f40633
-40f585b3
-5537
-f8c50513
-3c010ef
-1012783
-f05ff06f
-194913
-b8dff06f
-800513
-681000ef
-c12783
-fff78793
-f12623
-c12783
-fe0794e3
-1012783
-b60784e3
-493
-100006f
-2000513
-655000ef
-148493
-1012783
-48c93
-fef4e6e3
-c12783
-f797f0e3
-800513
-635000ef
-fffc8c93
-fedff06f
-c12503
-1012483
-b29572e3
-40a484b3
-150593
-48613
-b405b3
-a40533
-46c000ef
-c12603
-5537
-fff48593
-c40633
-f8c50513
-795000ef
-2000513
-5e9000ef
-800513
-fff48493
-5dd000ef
-fe049ae3
-ecdff06f
-659593
-ba0cb3
-c12783
-4079a63
-1012783
-8078463
-493
-680006f
-cc0742e3
-10006b7
-106a683
-cae68ce3
-1000637
-1462603
-170713
-e7a623
-e65463
-7a623
-c7a783
-a8c93
-faf68ce3
-679793
-fa0cb3
-fadff06f
-800513
-56d000ef
-c12783
-fff78793
-f12623
-f95ff06f
-2000513
-555000ef
-148493
-1012783
-48d13
-fef4e6e3
-c12783
-3a7ea63
-f12823
-c8593
-40513
-13c000ef
-40513
-254000ef
-c12583
-a12823
-a0a5fee3
-b40633
-40b505b3
-e6dff06f
-800513
-505000ef
-fffd0d13
-fbdff06f
-1012903
-10004b7
-12407b3
-78023
-44783
-6078063
-2100713
-4e78c63
-104a783
-1000537
-3850513
-679793
-f50533
-40593
-d0000ef
-104a783
-900713
-178793
-2f74e63
-f4a823
-10007b7
-104a703
-147a683
-e6d463
-e7aa23
-1000737
-872783
-178793
-f72423
-104a703
-10007b7
-e7a623
-c91ff06f
-4a823
-fc9ff06f
-ff5f593
-54783
-b79463
-8067
-78663
-150513
-fedff06f
-513
-8067
-54703
-2071263
-513
-8067
-fee68ee3
-178793
-7c683
-fe069ae3
-150513
-fddff06f
-58793
-fedff06f
-b505b3
-ff67613
-b50663
-54783
-79663
-513
-8067
-fef60ee3
-150513
-fe5ff06f
-50793
-158593
-fff5c703
-178793
-fee78fa3
-fe0718e3
-8067
-c50633
-50793
-c79463
-8067
-5c703
-e78023
-70463
-158593
-178793
-fe5ff06f
-158593
-54703
-fff5c783
-40f707b3
-1879793
-4187d793
-79663
-150513
-fe0710e3
-78513
-8067
-713
-c71863
-793
-78513
-8067
-e507b3
-7c683
-e587b3
-7c783
-40f687b3
-1879793
-4187d793
-fc079ee3
-fc068ce3
-170713
-fc9ff06f
-50793
-7c703
-178693
-71e63
-158593
-fff5c703
-178793
-fee78fa3
-fe0718e3
-8067
-68793
-fd9ff06f
-50793
-61663
-8067
-68793
-7c703
-178693
-fe071ae3
-c78633
-158593
-fff5c703
-178793
-fee78fa3
-fc070ce3
-fec796e3
-78023
-8067
-50793
-7c703
-71663
-40a78533
-8067
-178793
-fedff06f
-fe010113
-812c23
-b12623
-50413
-112e23
-fd1ff0ef
-c12583
-a40533
-ff5f593
-54783
-b78863
-fff50513
-fe857ae3
-513
-1c12083
-1812403
-2010113
-8067
-b505b3
-50793
-b78663
-7c703
-71663
-40a78533
-8067
-178793
-fe9ff06f
-793
-f50733
-74683
-68e63
-58713
-c0006f
-d60c63
-170713
-74603
-fe061ae3
-78513
-8067
-178793
-fd1ff06f
-713
-e61663
-793
-200006f
-e507b3
-e586b3
-7c783
-6c683
-170713
-40d787b3
-fc078ee3
-78513
-8067
-c50633
-50793
-c79463
-8067
-178793
-feb78fa3
-ff1ff06f
-793
-f61463
-8067
-f58733
-74683
-f50733
-178793
-d70023
-fe5ff06f
-2a5fa63
-fff64693
-793
-fff78793
-2f69663
-8067
-f58733
-74683
-f50733
-178793
-d70023
-fef616e3
-8067
-793
-ff5ff06f
-f60733
-e58833
-84803
-e50733
-1070023
-fbdff06f
-fe010113
-812c23
-50413
-58513
-1312623
-112e23
-912a23
-1212823
-1412423
-58993
-e51ff0ef
-4050063
-50913
-40513
-e41ff0ef
-50493
-a40a33
-409a0433
-124f663
-413
-1c0006f
-90613
-98593
-40513
-fff48493
-ed5ff0ef
-fc051ee3
-40513
-1c12083
-1812403
-1412483
-1012903
-c12983
-812a03
-2010113
-8067
-c50633
-ff5f593
-c51663
-513
-8067
-54703
-150793
-feb70ae3
-78513
-fe5ff06f
-fd010113
-2812423
-3212023
-1312e23
-2112623
-2912223
-5937
-50413
-58993
-54783
-fe490913
-4061e63
-3000713
-a00613
-4e79463
-154783
-150693
-f90733
-74703
-277713
-70663
-fe078793
-ff7f793
-5800713
-ce79c63
-244783
-f907b3
-7c783
-447f793
-c078263
-240413
-1000613
-513
-580006f
-1000713
-fee61ae3
-3000713
-fee796e3
-154783
-f90733
-74703
-277713
-70663
-fe078793
-ff7f793
-5800713
-fce794e3
-240413
-fc1ff06f
-60593
-c12623
-388010ef
-c12603
-950533
-140413
-44783
-f90733
-74703
-4477693
-2068463
-477693
-fd078493
-69c63
-277713
-70663
-fe078793
-ff7f793
-fc978493
-fac4eae3
-98463
-89a023
-2c12083
-2812403
-2412483
-2012903
-1c12983
-3010113
-8067
-68413
-800613
-f41ff06f
-54683
-2d00713
-e68463
-eb1ff06f
-ff010113
-150513
-112623
-ea1ff0ef
-c12083
-40a00533
-1010113
-8067
-5737
-50613
-fe470713
-513
-62683
-6c783
-f707b3
-7c783
-47f793
-79463
-8067
-168793
-f62023
-251793
-a787b3
-6c503
-179793
-a787b3
-fd078513
-fc5ff06f
-f7010113
-7312e23
-68993
-56b7
-8812423
-9212023
-7412c23
-7612823
-60a13
-fe468693
-8112623
-8912223
-7512a23
-7712623
-7812423
-7912223
-4087613
-50413
-58913
-12868b13
-60463
-10068b13
-1087693
-68463
-ffe87813
-ffe98693
-2200613
-513
-22d66a63
-187693
-3000c13
-69463
-2000c13
-287693
-a93
-68a63
-80a5863
-41400a33
-fff70713
-2d00a93
-2087c93
-c8863
-1000693
-8d99e63
-ffe70713
-a0a1263
-3000693
-d10e23
-100493
-48693
-f4d463
-78693
-1187793
-40d70733
-10078463
-a8863
-1247463
-1540023
-140413
-c8e63
-800793
-ef99e63
-1247663
-3000793
-f40023
-140413
-1087813
-14080063
-40513
-d406b3
-3000613
-1480006f
-487693
-68863
-fff70713
-2b00a93
-f71ff06f
-887693
-f60684e3
-fff70713
-2000a93
-f5dff06f
-800693
-f6d994e3
-fff70713
-f61ff06f
-1c10b93
-493
-98593
-a0513
-1012623
-f12423
-e12223
-705000ef
-ab0533
-54683
-98593
-a0513
-db8023
-72d000ef
-148493
-1b8b93
-412703
-812783
-c12803
-f33a60e3
-50a13
-fb5ff06f
-127f463
-a78023
-178793
-40f58633
-fec048e3
-70793
-75463
-793
-fff70713
-f40433
-40f70733
-f01ff06f
-40793
-e405b3
-2000513
-fd1ff06f
-1000793
-f0f99ae3
-1247663
-3000793
-f40023
-140793
-127f663
-21b4783
-f400a3
-240413
-ef1ff06f
-127f463
-1878023
-178793
-40f58633
-fec048e3
-70793
-75463
-793
-fff70713
-f40433
-40f70733
-ec9ff06f
-40793
-e405b3
-fd5ff06f
-1257463
-c50023
-150513
-40a687b3
-fef4c8e3
-48793
-50693
-fff00613
-fff78793
-4c79e63
-950533
-50793
-e50633
-2000593
-40f606b3
-6d04063
-75463
-713
-e50533
-8c12083
-8812403
-8412483
-8012903
-7c12983
-7812a03
-7412a83
-7012b03
-6c12b83
-6812c03
-6412c83
-9010113
-8067
-126fa63
-1c10593
-f585b3
-5c583
-b68023
-168693
-f89ff06f
-127f463
-b78023
-178793
-f91ff06f
-ff010113
-812423
-112623
-58413
-65000ef
-856463
-fff40513
-c12083
-812403
-1010113
-8067
-fc010113
-2d12623
-2c10693
-112e23
-2e12823
-2f12a23
-3012c23
-3112e23
-d12623
-25000ef
-1c12083
-4010113
-8067
-fc010113
-2d12623
-2c10693
-812c23
-112e23
-58413
-2e12823
-2f12a23
-3012c23
-3112e23
-d12623
-7e8000ef
-856463
-fff40513
-1c12083
-1812403
-4010113
-8067
-60693
-58613
-800005b7
-fff5c593
-7bc0006f
-fc010113
-2c12423
-58613
-800005b7
-2d12623
-fff5c593
-2810693
-112e23
-2e12823
-2f12a23
-3012c23
-3112e23
-d12623
-784000ef
-1c12083
-4010113
-8067
-1000737
-1872783
-779513
-f50533
-361967b7
-2e978793
-f50533
-a72c23
-8067
-10007b7
-a7ac23
-8067
-5537
-ff010113
-13450513
-112623
-42c000ef
-6f
-1851713
-1855793
-106b7
-e7e7b3
-f0068693
-855713
-d77733
-e7e7b3
-851513
-ff0737
-e57533
-a7e533
-8067
-851793
-855513
-a7e533
-1051513
-1055513
-8067
-1851713
-1855793
-106b7
-e7e7b3
-f0068693
-855713
-d77733
-e7e7b3
-851513
-ff0737
-e57533
-a7e533
-8067
-851793
-855513
-a7e533
-1051513
-1055513
-8067
-56b7
-793
-b505b3
-14068693
-40a58733
-e04663
-78513
-8067
-150513
-fff54603
-87d713
-879793
-c74733
-271713
-e68733
-75703
-1079793
-107d793
-f747b3
-fc5ff06f
-56b7
-50713
-fff00793
-b508b3
-700813
-54068693
-40e88633
-4c86a63
-35d713
-371693
-40d585b3
-d50533
-2058c63
-56b7
-b505b3
-54068693
-150513
-fff54703
-f74733
-ff77713
-271713
-e68733
-72703
-87d793
-f747b3
-fcb51ee3
-fff7c513
-8067
-74603
-870713
-f64633
-ff67613
-261613
-c68633
-62603
-87d793
-f647b3
-ff974603
-f64633
-ff67613
-261613
-c68633
-62603
-87d793
-f64633
-ffa74783
-c7c7b3
-ff7f793
-279793
-f687b3
-7a303
-ffb74783
-865613
-c34333
-67c7b3
-ff7f793
-279793
-f687b3
-7a603
-ffc74783
-835313
-664633
-c7c7b3
-ff7f793
-279793
-f687b3
-7a303
-ffd74783
-865613
-c34333
-67c7b3
-ff7f793
-279793
-f687b3
-7a783
-ffe74603
-835313
-67c7b3
-f64633
-ff67613
-261613
-c68633
-62303
-fff74603
-87d793
-f34333
-664633
-ff67613
-261613
-c68633
-62783
-835313
-67c7b3
-ea5ff06f
-10007b7
-2a7a223
-8067
-10007b7
-2a7a023
-10007b7
-b7ae23
-8067
-ff010113
-912223
-ff57493
-812423
-50413
-48513
-112623
-3b4000ef
-10007b7
-247a783
-78663
-48513
-780e7
-a00793
-f41663
-d00513
-fc1ff0ef
-40513
-c12083
-812403
-412483
-1010113
-8067
-ff010113
-812423
-112623
-1000437
-348000ef
-50a63
-812403
-c12083
-1010113
-2e00006f
-1c42783
-fe0782e3
-780e7
-fc050ee3
-812403
-10007b7
-c12083
-207a303
-1010113
-30067
-ff010113
-112623
-300000ef
-2051263
-10007b7
-1c7a783
-78663
-780e7
-a03533
-c12083
-1010113
-8067
-100513
-ff1ff06f
-ff010113
-812423
-112623
-50413
-44503
-2051063
-a00513
-f01ff0ef
-c12083
-812403
-100513
-1010113
-8067
-ee9ff0ef
-140413
-fd5ff06f
-ff010113
-812423
-112623
-50413
-44503
-51a63
-c12083
-812403
-1010113
-8067
-eb5ff0ef
-140413
-fe1ff06f
-ef010113
-58693
-50613
-10000593
-10513
-10112623
-10812423
-ac5ff0ef
-10010793
-50413
-a787b3
-10513
-f0078023
-f99ff0ef
-40513
-10c12083
-10812403
-11010113
-8067
-fc010113
-2b12223
-2410593
-112e23
-2c12423
-2d12623
-2e12823
-2f12a23
-3012c23
-3112e23
-b12623
-f89ff0ef
-1c12083
-4010113
-8067
-8067
-ff010113
-812423
-112623
-82002437
-2042023
-42823
-42a23
-42c23
-185b7
-42e23
-6a058593
-1b5000ef
-1855793
-f42023
-1055793
-f42223
-855793
-f42423
-a42623
-100793
-2f42023
-2f42223
-82002737
-100613
-2872783
-2c72683
-879793
-d7e7b3
-3072683
-879793
-d7e7b3
-3472683
-879793
-d7e7b3
-79a63
-c12083
-812403
-1010113
-8067
-2c72223
-fc1ff06f
-50023
-8067
-820027b7
-8107a703
-277793
-79863
-177713
-4071e63
-8067
-10007b7
-307a803
-10005b7
-820026b7
-1000637
-2b858593
-200893
-8086a783
-ff7f793
-fc0798e3
-3462783
-178793
-7f7f793
-f80c63
-3462503
-8006a303
-2f62a23
-a58533
-650023
-8116a823
-fcdff06f
-820027b7
-100713
-80e7a823
-10007b7
-2c7a583
-10006b7
-1000737
-82002637
-2b868693
-2872783
-b78863
-80462783
-ff7f793
-78463
-8067
-2872783
-f687b3
-807c783
-80f62023
-2872783
-178793
-7f7f793
-2f72423
-fc9ff06f
-30002673
-1000737
-867613
-3072783
-70693
-1000737
-2060663
-3472603
-fef60ee3
-1000737
-2b870713
-f70733
-178793
-7f7f793
-74503
-2f6a823
-100006f
-3472703
-513
-fcf71ce3
-8067
-1000737
-10007b7
-347a783
-3072503
-40f50533
-a03533
-8067
-10006b7
-2c6a603
-160793
-7f7f793
-300025f3
-85f593
-1000737
-4058663
-2872583
-fef58ee3
-bc0025f3
-ffe5f813
-bc081073
-2872703
-e61a63
-82002837
-80482703
-ff77713
-2070663
-1000737
-2b870713
-c70733
-8a70023
-2f6a623
-bc059073
-c0006f
-2872583
-faf59ee3
-8067
-80a82023
-fe9ff06f
-10007b7
-207aa23
-10007b7
-207a823
-10007b7
-207a623
-10007b7
-207a423
-820027b7
-8107a703
-ff77713
-80e7a823
-300713
-80e7aa23
-bc0027f3
-17e793
-bc079073
-8067
-10007b7
-2c7a783
-10006b7
-286a703
-fef71ee3
-8067
-fc010113
-2112e23
-2812c23
-2912a23
-3212823
-3312623
-3412423
-3512223
-3612023
-1712e23
-1812c23
-1912a23
-1a12823
-c12623
-4c05c063
-b509b3
-50a13
-58b13
-68493
-a9f663
-fff54b13
-fff00993
-5c37
-6cb7
-a0413
-2500b93
-2000a93
-fe4c0c13
-940c8c93
-2b40006f
-1778a63
-1347463
-f40023
-140413
-2940006f
-913
-2b00713
-2d00613
-3000593
-2300513
-c12683
-168793
-f12623
-16c783
-12e78e63
-12f76063
-13578e63
-14a78063
-fc0733
-74703
-477713
-12070c63
-c10513
-b64ff0ef
-50713
-c12683
-2e00613
-fff00793
-6c583
-2c59e63
-168793
-f12623
-16c603
-cc07b3
-7c783
-47f793
-12078463
-c10513
-e12423
-b24ff0ef
-812703
-50793
-55463
-793
-c12683
-6800593
-6c603
-2b60263
-df67593
-4c00513
-a58c63
-5a00513
-a58863
-7400593
-fff00813
-2b61663
-60813
-168613
-c12623
-6c00613
-c81c63
-16c603
-1061863
-268693
-d12623
-4c00813
-c12683
-6c603
-6e00693
-2cd60a63
-cc6ee63
-6300693
-14d60a63
-ac6ea63
-2d760a63
-5800693
-2cd60c63
-1347463
-1740023
-c12783
-140713
-7c683
-2c068663
-1377463
-d400a3
-240413
-14c0006f
-c78863
-eeb794e3
-196913
-ec1ff06f
-1096913
-eb9ff06f
-496913
-eb1ff06f
-896913
-ea9ff06f
-2096913
-ea1ff06f
-2a00613
-fff00713
-ecc798e3
-4a703
-268693
-d12623
-448493
-ea075ee3
-40e00733
-1096913
-eb1ff06f
-2a00593
-793
-eeb618e3
-268693
-4a503
-d12623
-448493
-ed1ff06f
-6400693
-d60663
-6900693
-f4d618e3
-296913
-a00693
-680006f
-7300693
-14d60863
-4c6e463
-6f00693
-22d60063
-7000693
-f2d614e3
-fff00693
-d71663
-196913
-800713
-4a603
-448d13
-90813
-1000693
-40513
-98593
-9d8ff0ef
-50413
-1640006f
-7500693
-fad602e3
-7800593
-1000693
-eeb610e3
-4c00613
-1cc81863
-748493
-ff84f493
-848d13
-4a603
-1f80006f
-1097913
-a090c63
-40793
-448693
-1347663
-4a603
-c40023
-140413
-e78733
-408707b3
-8f04e63
-68493
-c12783
-178793
-f12623
-c12783
-7c783
-d40794e3
-b0663
-1b347c63
-40023
-41440533
-3c12083
-3812403
-3412483
-3012903
-2c12983
-2812a03
-2412a83
-2012b03
-1c12b83
-1812c03
-1412c83
-1012d03
-4010113
-8067
-1347463
-1540023
-140413
-fff78793
-fef048e3
-fff70793
-e04463
-100713
-40e78733
-170713
-f51ff06f
-70793
-fddff06f
-1347463
-1540023
-140413
-f55ff06f
-448d13
-4a483
-49463
-c8493
-78593
-48513
-e12423
-1097913
-d09fe0ef
-812703
-91863
-70793
-fff70713
-2f54863
-793
-2a7cc63
-50793
-55463
-793
-f40433
-e40733
-408707b3
-2f54c63
-d0493
-efdff06f
-1347463
-1540023
-140413
-fbdff06f
-f406b3
-136f863
-f48633
-64603
-c68023
-178793
-fb1ff06f
-1347463
-1540023
-140413
-fb9ff06f
-4a783
-41440733
-448493
-e7a023
-eadff06f
-c13478e3
-1740023
-c09ff06f
-4096913
-1000693
-e49ff06f
-fff78793
-f12623
-70413
-e85ff06f
-800693
-e31ff06f
-6c00613
-448d13
-e2c80ce3
-fdf87613
-5a00593
-e2b606e3
-7400613
-e2c802e3
-6800613
-297593
-e0c81ce3
-4a603
-1061613
-59863
-1065613
-90813
-dc5ff06f
-41065613
-ff5ff06f
-fe098fa3
-e4dff06f
-513
-e49ff06f
-ff010113
-112623
-812423
-912223
-50413
-58493
-28000ef
-50593
-48513
-1f4000ef
-40a40533
-c12083
-812403
-412483
-1010113
-8067
-fe010113
-112e23
-812c23
-912a23
-a058263
-50413
-8050263
-58513
-b12623
-11c000ef
-50493
-40513
-110000ef
-40a48533
-1f00793
-6a7ec63
-4f50e63
-c12583
-150513
-2000713
-40a70733
-e41733
-793
-a45433
-fff58813
-141413
-1f75613
-866633
-40c806b3
-41f6d693
-171713
-b6f433
-fff50513
-f76733
-40860433
-16f793
-fc051ae3
-171413
-f46433
-40513
-1c12083
-1812403
-1412483
-2010113
-8067
-413
-fe5ff06f
-41f55793
-41f5d713
-f54533
-e5c5b3
-ff010113
-40e585b3
-40f50533
-812423
-112623
-e7c433
-f1dff0ef
-854533
-40850533
-c12083
-812403
-1010113
-8067
-ff010113
-112623
-812423
-912223
-50413
-58493
-fa5ff0ef
-50593
-48513
-b4000ef
-40a40533
-c12083
-812403
-412483
-1010113
-8067
-ffff0737
-e57733
-173693
-469693
-1000793
-40d787b3
-10737
-f557b3
-f0070713
-e7f733
-173713
-371713
-800513
-40e50533
-a7d7b3
-f07f513
-153513
-251513
-d70733
-400693
-40a686b3
-d7d7b3
-e50733
-c7f513
-153513
-200613
-151513
-40a606b3
-d7d7b3
-17d693
-16c693
-16f693
-40d006b3
-40f607b3
-f6f7b3
-e50533
-a78533
-8067
-50793
-513
-79463
-8067
-17f713
-70463
-b50533
-159593
-17d793
-fe5ff06f
-82003028
-8200305c
-82003090
-820030c4
-82003018
-8200304c
-82003080
-820030b4
-616c6564
-203a7379
-0
-2d
-64323025
-30252d2b
-6432
-41524453
-6f6e204d
-6e752077
-20726564
-74666f73
-65726177
-6e6f6320
-6c6f7274
-a
-41524453
-6f6e204d
-6e752077
-20726564
-64726168
-65726177
-6e6f6320
-6c6f7274
-a
-78323025
-0
-783225
-746d654d
-20747365
-20737562
-6c696166
-203a6465
-252f6425
-72652064
-73726f72
-a
-746d654d
-20747365
-61746164
-69616620
-3a64656c
-2f642520
-65206425
-726f7272
-a73
-746d654d
-20747365
-72646461
-69616620
-3a64656c
-2f642520
-65206425
-726f7272
-a73
-746d654d
-20747365
-a4b4f
-736d654d
-64656570
-69725720
-3a736574
-4d642520
-20737062
-64616552
-25203a73
-70624d64
-a73
-64616552
-76656c20
-6e696c65
-a3a67
-2c64256d
-64256220
-7c203a
-6425
-207c
-74736562
-256d203a
-62202c64
-206425
-74696e49
-696c6169
-676e697a
-52445320
-2e2e4d41
-a2e
-6d315b1b
-20202020
-20202020
-20205f5f
-5f205f20
-2020205f
-5f202020
-5f5f2020
-6d305b1b
-a
-6d315b1b
-20202020
-2f202020
-20202f20
-20295f28
-5f5f5f2f
-207c205f
-2f5f2f7c
-6d305b1b
-a
-6d315b1b
-20202020
-202f2020
-2f5f5f2f
-5f202f20
-2d202f5f
-203e295f
-5b1b3c20
-a6d30
-6d315b1b
-20202020
-5f5f2f20
-5f2f5f5f
-5f5f5c2f
-5f5f5c2f
-7c2f5f2f
-5b1b7c5f
-a6d30
-6d315b1b
-42202020
-646c6975
-756f7920
-61682072
-61776472
-202c6572
-69736165
-1b21796c
-a6d305b
-0
-29632820
-706f4320
-67697279
-32207468
-2d323130
-30323032
-6a6e4520
-442d796f
-74696769
-a6c61
-29632820
-706f4320
-67697279
-32207468
-2d373030
-35313032
-4c2d4d20
-a736261
-0
-4f494220
-75622053
-20746c69
-4d206e6f
-20207961
-30322038
-30203032
-39323a31
-a36313a
-0
-67694d20
-67206e65
-73207469
-3a316168
-39636420
-36656663
-a
-74694c20
-67205865
-73207469
-3a316168
-65393720
-35333165
-a66
-3d3d2d2d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-5b1b203d
-6f536d31
-305b1b43
-3d3d206d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-a2d2d
-52786556
-76637369
-0
-6d315b1b
-1b555043
-3a6d305b
-20202020
-25202020
-20402073
-484d6425
-a7a
-6d315b1b
-1b4d4f52
-3a6d305b
-20202020
-25202020
-a424b64
-0
-6d315b1b
-4d415253
-6d305b1b
-2020203a
-25202020
-a424b64
-0
-6d315b1b
-5b1b324c
-203a6d30
-20202020
-25202020
-a424b64
-0
-6d315b1b
-4e49414d
-4d41522d
-6d305b1b
-2520203a
-a424b64
-0
-3d3d2d2d
-3d3d3d3d
-3d3d3d3d
-315b1b20
-696e496d
-6c616974
-74617a69
-1b6e6f69
-206d305b
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-a2d2d
-6f6d654d
-69207972
-6974696e
-7a696c61
-6f697461
-6166206e
-64656c69
-a
-3d3d2d2d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-315b1b20
-6f6f426d
-305b1b74
-3d3d206d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-a2d2d
-62206f4e
-20746f6f
-6964656d
-66206d75
-646e756f
-a
-3d3d2d2d
-3d3d3d3d
-3d3d3d3d
-203d3d3d
-6d315b1b
-736e6f43
-1b656c6f
-206d305b
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-a2d2d
-32395b1b
-6c6d313b
-78657469
-6d305b1b
-203e
-73250a
-6d6d6f43
-20646e61
-20746f6e
-6e756f66
-64
-1598
-15d4
-1638
-15d4
-14b8
-16f0
-44354c73
-6d4d5364
-726b656b
-a6f
-4849367a
-59633747
-36444944
-a6f
-746f6f42
-20676e69
-6d6f7266
-72657320
-2e6c6169
-a2e2e
-73657250
-20512073
-4520726f
-74204353
-6261206f
-2074726f
-746f6f62
-6d6f6320
-74656c70
-2e796c65
-a
-206f6f54
-796e616d
-6e6f6320
-75636573
-65766974
-72726520
-2c73726f
-6f626120
-6e697472
-67
-63657845
-6e697475
-6f622067
-6465746f
-6f727020
-6d617267
-20746120
-30257830
-a0a7838
-0
-3d3d2d2d
-3d3d3d3d
-3d3d3d3d
-203d3d3d
-6d315b1b
-7466694c
-2166666f
-6d305b1b
-3d3d3d20
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-a2d2d
-656d6954
-a74756f
-0
-636e6143
-656c6c65
-a64
-6f6d654d
-64207972
-3a706d75
-0
-2578300a
-20783830
-20
-78323025
-20
-202020
-2e
-6325
-4f494220
-52432053
-61702043
-64657373
-30252820
-a297838
-0
-4f494220
-52432053
-61662043
-64656c69
-78652820
-74636570
-25206465
-2c783830
-746f6720
-38302520
-a2978
-65685420
-73797320
-206d6574
-6c6c6977
-6e6f6320
-756e6974
-62202c65
-65207475
-63657078
-72702074
-656c626f
-a2e736d
-0
-74694c0a
-42205865
-2c534f49
-61766120
-62616c69
-6320656c
-616d6d6f
-3a73646e
-a
-36312d25
-202d2073
-a7325
-6e656449
-25203a74
-73
-20637263
-6464613c
-73736572
-6c3c203e
-74676e65
-3e68
-6f636e49
-63657272
-64612074
-73657264
-73
-6f636e49
-63657272
-656c2074
-6874676e
-0
-33435243
-25203a32
-783830
-73756c66
-326c5f68
-6361635f
-6568
-73756c46
-324c2068
-63616320
-6568
-73756c66
-70635f68
-63645f75
-65686361
-0
-73756c46
-50432068
-61642055
-63206174
-65686361
-0
-637263
-706d6f43
-20657475
-33435243
-666f2032
-70206120
-20747261
-7420666f
-61206568
-65726464
-73207373
-65636170
-0
-6f626572
-746f
-65736552
-72702074
-7365636f
-726f73
-6e656469
-74
-70736944
-2079616c
-6e656469
-69666974
-7265
-706c6568
-0
-6e697250
-68742074
-68207369
-706c65
-69726573
-6f626c61
-746f
-746f6f42
-61697620
-4c465320
-0
-63657250
-67726168
-6465
-6f636e49
-63657272
-6f722074
-77
-69746341
-65746176
-6f722064
-64252077
-0
-72726473
-613c2064
-65726464
-3e7373
-6f636e49
-63657272
-51442074
-0
-72726473
-72726564
-6f633c20
-3e746e75
-0
-6f636e49
-63657272
-6f632074
-746e75
-77726473
-613c2072
-65726464
-3e7373
-746d656d
-747365
-206e7552
-656d2061
-79726f6d
-73657420
-74
-6c726473
-6c657665
-0
-66726550
-206d726f
-64616572
-6972772f
-6c206574
-6c657665
-676e69
-69726473
-74696e
-72617453
-44532074
-204d4152
-74696e69
-696c6169
-69746173
-6e6f
-77726473
-72
-74697257
-44532065
-204d4152
-74736574
-74616420
-61
-72726473
-72726564
-0
-6e697250
-44532074
-204d4152
-64616572
-72726520
-73726f
-72726473
-64
-64616552
-52445320
-64204d41
-617461
-72726473
-66756264
-0
-706d7544
-52445320
-72204d41
-20646165
-66667562
-7265
-68726473
-77
-65766947
-44532073
-204d4152
-746e6f63
-206c6f72
-48206f74
-57
-73726473
-77
-65766947
-44532073
-204d4152
-746e6f63
-206c6f72
-53206f74
-57
-72726473
-776f
-63657250
-67726168
-63412f65
-61766974
-72206574
-776f
-3c20776d
-72646461
-3e737365
-61763c20
-3e65756c
-6f635b20
-5d746e75
-0
-6f636e49
-63657272
-61762074
-65756c
-3c20636d
-3e747364
-72733c20
-5b203e63
-6e756f63
-5d74
-6f636e49
-63657272
-65642074
-6e697473
-6f697461
-6461206e
-73657264
-73
-6f636e49
-63657272
-6f732074
-65637275
-64646120
-73736572
-0
-3c20726d
-72646461
-3e737365
-656c5b20
-6874676e
-5d
-636e490a
-6572726f
-6c207463
-74676e65
-68
-636d
-79706f43
-64646120
-73736572
-61707320
-6563
-776d
-74697257
-64612065
-73657264
-70732073
-656361
-726d
-64616552
-64646120
-73736572
-61707320
-6563
-732a2d25
-0
-4f9c
-10
-4fa0
-e
-4fa4
-6
-4fa8
-2
-4fac
-1
-4fb0
-85
-4fb4
-10
-4fb8
-e
-4fbc
-6
-4fc0
-2
-4fc4
-1
-4fc8
-85
-4fcc
-1
-4fd0
-f
-4fd4
-89
-4fd8
-85
-4fdc
-87
-4fe0
-88
-732a2e25
-0
-73257325
-0
-414f
-424f
-434f
-444f
-484f
-464f
-415b
-425b
-435b
-445b
-485b
-465b
-7e315b
-7e325b
-7e335b
-7e345b
-7e355b
-7e365b
-8080808
-8080808
-28282808
-8082828
-8080808
-8080808
-8080808
-8080808
-101010a0
-10101010
-10101010
-10101010
-4040404
-4040404
-10100404
-10101010
-41414110
-1414141
-1010101
-1010101
-1010101
-1010101
-10010101
-10101010
-42424210
-2424242
-2020202
-2020202
-2020202
-2020202
-10020202
-8101010
-0
-0
-0
-0
-0
-0
-0
-0
-101010a0
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-1010101
-1010101
-1010101
-1010101
-1010101
-10010101
-1010101
-2010101
-2020202
-2020202
-2020202
-2020202
-2020202
-10020202
-2020202
-2020202
-33323130
-37363534
-42413938
-46454443
-4a494847
-4e4d4c4b
-5251504f
-56555453
-5a595857
-0
-33323130
-37363534
-62613938
-66656463
-6a696867
-6e6d6c6b
-7271706f
-76757473
-7a797877
-0
-726f6241
-2e646574
-0
-0
-1021
-2042
-3063
-4084
-50a5
-60c6
-70e7
-8108
-9129
-a14a
-b16b
-c18c
-d1ad
-e1ce
-f1ef
-1231
-210
-3273
-2252
-52b5
-4294
-72f7
-62d6
-9339
-8318
-b37b
-a35a
-d3bd
-c39c
-f3ff
-e3de
-2462
-3443
-420
-1401
-64e6
-74c7
-44a4
-5485
-a56a
-b54b
-8528
-9509
-e5ee
-f5cf
-c5ac
-d58d
-3653
-2672
-1611
-630
-76d7
-66f6
-5695
-46b4
-b75b
-a77a
-9719
-8738
-f7df
-e7fe
-d79d
-c7bc
-48c4
-58e5
-6886
-78a7
-840
-1861
-2802
-3823
-c9cc
-d9ed
-e98e
-f9af
-8948
-9969
-a90a
-b92b
-5af5
-4ad4
-7ab7
-6a96
-1a71
-a50
-3a33
-2a12
-dbfd
-cbdc
-fbbf
-eb9e
-9b79
-8b58
-bb3b
-ab1a
-6ca6
-7c87
-4ce4
-5cc5
-2c22
-3c03
-c60
-1c41
-edae
-fd8f
-cdec
-ddcd
-ad2a
-bd0b
-8d68
-9d49
-7e97
-6eb6
-5ed5
-4ef4
-3e13
-2e32
-1e51
-e70
-ff9f
-efbe
-dfdd
-cffc
-bf1b
-af3a
-9f59
-8f78
-9188
-81a9
-b1ca
-a1eb
-d10c
-c12d
-f14e
-e16f
-1080
-a1
-30c2
-20e3
-5004
-4025
-7046
-6067
-83b9
-9398
-a3fb
-b3da
-c33d
-d31c
-e37f
-f35e
-2b1
-1290
-22f3
-32d2
-4235
-5214
-6277
-7256
-b5ea
-a5cb
-95a8
-8589
-f56e
-e54f
-d52c
-c50d
-34e2
-24c3
-14a0
-481
-7466
-6447
-5424
-4405
-a7db
-b7fa
-8799
-97b8
-e75f
-f77e
-c71d
-d73c
-26d3
-36f2
-691
-16b0
-6657
-7676
-4615
-5634
-d94c
-c96d
-f90e
-e92f
-99c8
-89e9
-b98a
-a9ab
-5844
-4865
-7806
-6827
-18c0
-8e1
-3882
-28a3
-cb7d
-db5c
-eb3f
-fb1e
-8bf9
-9bd8
-abbb
-bb9a
-4a75
-5a54
-6a37
-7a16
-af1
-1ad0
-2ab3
-3a92
-fd2e
-ed0f
-dd6c
-cd4d
-bdaa
-ad8b
-9de8
-8dc9
-7c26
-6c07
-5c64
-4c45
-3ca2
-2c83
-1ce0
-cc1
-ef1f
-ff3e
-cf5d
-df7c
-af9b
-bfba
-8fd9
-9ff8
-6e17
-7e36
-4e55
-5e74
-2e93
-3eb2
-ed1
-1ef0
-0
-77073096
-ee0e612c
-990951ba
-76dc419
-706af48f
-e963a535
-9e6495a3
-edb8832
-79dcb8a4
-e0d5e91e
-97d2d988
-9b64c2b
-7eb17cbd
-e7b82d07
-90bf1d91
-1db71064
-6ab020f2
-f3b97148
-84be41de
-1adad47d
-6ddde4eb
-f4d4b551
-83d385c7
-136c9856
-646ba8c0
-fd62f97a
-8a65c9ec
-14015c4f
-63066cd9
-fa0f3d63
-8d080df5
-3b6e20c8
-4c69105e
-d56041e4
-a2677172
-3c03e4d1
-4b04d447
-d20d85fd
-a50ab56b
-35b5a8fa
-42b2986c
-dbbbc9d6
-acbcf940
-32d86ce3
-45df5c75
-dcd60dcf
-abd13d59
-26d930ac
-51de003a
-c8d75180
-bfd06116
-21b4f4b5
-56b3c423
-cfba9599
-b8bda50f
-2802b89e
-5f058808
-c60cd9b2
-b10be924
-2f6f7c87
-58684c11
-c1611dab
-b6662d3d
-76dc4190
-1db7106
-98d220bc
-efd5102a
-71b18589
-6b6b51f
-9fbfe4a5
-e8b8d433
-7807c9a2
-f00f934
-9609a88e
-e10e9818
-7f6a0dbb
-86d3d2d
-91646c97
-e6635c01
-6b6b51f4
-1c6c6162
-856530d8
-f262004e
-6c0695ed
-1b01a57b
-8208f4c1
-f50fc457
-65b0d9c6
-12b7e950
-8bbeb8ea
-fcb9887c
-62dd1ddf
-15da2d49
-8cd37cf3
-fbd44c65
-4db26158
-3ab551ce
-a3bc0074
-d4bb30e2
-4adfa541
-3dd895d7
-a4d1c46d
-d3d6f4fb
-4369e96a
-346ed9fc
-ad678846
-da60b8d0
-44042d73
-33031de5
-aa0a4c5f
-dd0d7cc9
-5005713c
-270241aa
-be0b1010
-c90c2086
-5768b525
-206f85b3
-b966d409
-ce61e49f
-5edef90e
-29d9c998
-b0d09822
-c7d7a8b4
-59b33d17
-2eb40d81
-b7bd5c3b
-c0ba6cad
-edb88320
-9abfb3b6
-3b6e20c
-74b1d29a
-ead54739
-9dd277af
-4db2615
-73dc1683
-e3630b12
-94643b84
-d6d6a3e
-7a6a5aa8
-e40ecf0b
-9309ff9d
-a00ae27
-7d079eb1
-f00f9344
-8708a3d2
-1e01f268
-6906c2fe
-f762575d
-806567cb
-196c3671
-6e6b06e7
-fed41b76
-89d32be0
-10da7a5a
-67dd4acc
-f9b9df6f
-8ebeeff9
-17b7be43
-60b08ed5
-d6d6a3e8
-a1d1937e
-38d8c2c4
-4fdff252
-d1bb67f1
-a6bc5767
-3fb506dd
-48b2364b
-d80d2bda
-af0a1b4c
-36034af6
-41047a60
-df60efc3
-a867df55
-316e8eef
-4669be79
-cb61b38c
-bc66831a
-256fd2a0
-5268e236
-cc0c7795
-bb0b4703
-220216b9
-5505262f
-c5ba3bbe
-b2bd0b28
-2bb45a92
-5cb36a04
-c2d7ffa7
-b5d0cf31
-2cd99e8b
-5bdeae1d
-9b64c2b0
-ec63f226
-756aa39c
-26d930a
-9c0906a9
-eb0e363f
-72076785
-5005713
-95bf4a82
-e2b87a14
-7bb12bae
-cb61b38
-92d28e9b
-e5d5be0d
-7cdcefb7
-bdbdf21
-86d3d2d4
-f1d4e242
-68ddb3f8
-1fda836e
-81be16cd
-f6b9265b
-6fb077e1
-18b74777
-88085ae6
-ff0f6a70
-66063bca
-11010b5c
-8f659eff
-f862ae69
-616bffd3
-166ccf45
-a00ae278
-d70dd2ee
-4e048354
-3903b3c2
-a7672661
-d06016f7
-4969474d
-3e6e77db
-aed16a4a
-d9d65adc
-40df0b66
-37d83bf0
-a9bcae53
-debb9ec5
-47b2cf7f
-30b5ffe9
-bdbdf21c
-cabac28a
-53b39330
-24b4a3a6
-bad03605
-cdd70693
-54de5729
-23d967bf
-b3667a2e
-c4614ab8
-5d681b02
-2a6f2b94
-b40bbe37
-c30c8ea1
-5a05df1b
-2d02ef8d
-4c554e3c
-3e4c
-5998
-59a8
-59b8
-59c8
-59d8
-59e8
-59f8
-5a08
-5a18
-5a28
-5a38
-5a48
-5a58
-5a68
-5a78
-5a88
-5a98
-5aa8
-5ab8
-5ac8
-3a2c
-4b40
-4b50
-2
-1a20
-4b60
-4b74
-2
-1b64
-4b8c
-4b90
-0
-1a4c
-4bc0
-4bc8
-1
-1b28
-4bd8
-4be0
-1
-1a5c
-4bf4
-4bfc
-0
-1378
-4c0c
-4c18
-3
-9b4
-4cac
-4cb4
-4
-cac
-4cc8
-4cd4
-4
-100c
-4cf0
-4cf8
-4
-1da4
-4d14
-4d1c
-4
-1d4c
-4d34
-4d40
-4
-1c98
-4d58
-4d60
-4
-1c90
-4d70
-4d7c
-4
-550
-4d94
-4d9c
-4
-538
-4db8
-4dc0
-4
-1c14
-4ddc
-4de4
-4
-1ef4
-4eac
-4eb0
-6
-1dfc
-4ec4
-4ec8
-6
-1ff4
-4edc
-4ee0
-6
-1
-0
-dc8f66be
+4800002408000048
+01006b69a600607d
+a602487d05009f42
+a64b5a7d14004a39
+2402004ca64b7b7d
+602100003c200000
+6421ffff782107c6
+3d80000060213f00
+798c07c6618c0000
+618c1168658cffff
+4e8004217d8903a6
+0000000048000002
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+38429f003c4c0001
+600000003d20c000
+7929002061292000
+3d40c000f9228038
+614a201839200035
+7c0004ac794a0020
+4e8000207d2057aa
+0000000000000000
+3c4c000100000000
+6000000038429ebc
+39290010e9228038
+7d204eaa7c0004ac
+4082ffe871290008
+e922803860000000
+7c604faa7c0004ac
+000000004e800020
+0000000000000000
+38429e783c4c0001
+fbc1fff07c0802a6
+7fc32214fbe1fff8
+f80100107c7f1b78
+7fbff040f821ffd1
+38210030409e000c
+893f000048001b40
+409e000c2f89000a
+4bffff813860000d
+3bff0001887f0000
+4bffffd04bffff75
+0100000000000000
+3c4c000100000280
+7c0802a638429e14
+fbe1fff8fbc1fff0
+f821fe91f8010010
+f88101983bc10020
+38800140f8a101a0
+7c651b78f8c101a8
+f8e101b038c10198
+f90101b87fc3f378
+f94101c8f92101c0
+60000000480014e1
+7c641b787c7f1b78
+4bffff457fc3f378
+7fe3fb7838210170
+0000000048001aa0
+0000028001000000
+38429d983c4c0001
+fbe1fff87c0802a6
+918100087d908026
+f821ff91f8010010
+3d2000014bfffe81
+7d2903a6612986a0
+e922803860000000
+7c0004ac39290010
+4200ffec7d204eaa
+38637d103c62ffff
+3880ffff4bffff3d
+7c0004ac54840002
+3c62ffff7c8026ea
+38637d303fe0c000
+4bffff1963ff0008
+7bff00203c62ffff
+4bffff0938637d50
+7fe0feea7c0004ac
+4182001073e90001
+38637d683c62ffff
+73e900024bfffeed
+418200104e000000
+38637d703c62ffff
+3fe2ffff4bfffed5
+7fe3fb783bff7f58
+3c80c0004bfffec5
+7884002060840010
+7c8026ea7c0004ac
+7884b2823c62ffff
+4bfffea138637d78
+3c80c00041920028
+7884002060840018
+7c8026ea7c0004ac
+788465023c62ffff
+4bfffe7938637d98
+612900203d20c000
+7c0004ac79290020
+3c80000f7d204eea
+608442403c62ffff
+7c89239238637db8
+7fe3fb784bfffe4d
+419200284bfffe45
+3c82ffff3ca2ffff
+38a57dd83c62ffff
+38637df038847de8
+48000d994bfffe25
+3c62ffff60000000
+4bfffe1138637e20
+8181000838210070
+480019087d908120
+0300000000000000
+3863ffff00000180
+3923000178630020
+600000007d2903a6
+4e8000204200fffc
+0000000000000000
+3920000100000000
+7d2318303d40c010
+612900283d20c010
+79290020614a0030
+7c6307b4794a0020
+f869000039000001
+39400000f90a0000
+4e800020f9490000
+0000000000000000
+3920000100000000
+7d2318303d40c010
+612900283d20c010
+79290020614a0038
+7c6307b4794a0020
+f869000039000001
+39400000f90a0000
+4e800020f9490000
+0000000000000000
+3920000400000000
+7d2903a63884ffff
+386300088d240001
+4200fff4f923fff8
+000000004e800020
+0000000000000000
+3884ffff39200004
+e92300007d2903a6
+9d24000138630008
+4e8000204200fff4
+0000000000000000
+3c4c000100000000
+7c0802a638429aec
+f821ff0148001741
+3c62ffff7c7e1b78
+3be0000438637ef0
+600000004bfffcbd
+394100603cc08020
+3920002a60c60003
+78c600207d5d5378
+38e0000039000004
+7928f8427d0903a6
+7d2900d0792907e0
+7d2842787d293038
+7d0a39ae79090020
+4200ffe038e70001
+394a00043bffffff
+4082ffc47bff0021
+394000093d20c010
+3b80000161290818
+3860000f79290020
+3e80c0103ee0c010
+3d20c010fbe90000
+61290820237e0001
+7929002062f708d8
+3ea2ffff629408e0
+fbe900007f7b07b4
+7af700203d20c010
+3a60002561290828
+7a94002079290020
+3ac100703a400001
+3d20c010fbe90000
+612908083ab57f18
+792900207f1dda14
+3d20c010f9490000
+7929002061290810
+4bfffdd9fb890000
+7fa4eb783c60c010
+7863002060630830
+3c60c0104bfffe7d
+6063089838810064
+4bfffe6978630020
+388100683c60c010
+7863002060630900
+3c60c0104bfffe55
+606309683881006c
+4bfffe4178630020
+394000173d20c010
+7fc3f37861290950
+fbe9000079290020
+612909583d20c010
+fbe9000079290020
+612909603d20c010
+fbe9000079290020
+612909403d20c010
+f949000079290020
+612909483d20c010
+fb89000079290020
+612908e83d20c010
+fbe9000079290020
+612908f03d20c010
+fbe9000079290020
+612908f83d20c010
+fbe9000079290020
+4bfffd213be00000
+79390020213e0003
+7f3dca147d2907b4
+fa7700007e3d4a14
+3860000ffa540000
+3b4000013b800000
+7b890fa44bfffccd
+7c75482a7ec4b378
+7d38e0ae4bfffda1
+7f89500089580010
+7d39e0ae409e0048
+7f89500089510010
+3b9c0004409e0038
+409effc82bbc0010
+393f00012fba0000
+409e00287d3c07b4
+419e001c2f890020
+7f9fe3787fc3f378
+4bffff884bfffcdd
+4bffffc83b400000
+38bf00017f9fe378
+7cbc07b47fc3f378
+4bfffcb93e80c010
+213e00033e60c010
+629408d879370020
+7d2907b4627308e0
+7efdba147f7dda14
+3a4000257a940020
+3a2000017a730020
+fa5400007fbd4a14
+3860000ffa330000
+3b2000013b400000
+7b490fa44bfffbfd
+7c75482a7ec4b378
+7d38d0ae4bfffcd1
+7f895000895b0010
+7d37d0ae409e0044
+7f895000895d0010
+3b5a0004409e0034
+409effc82bba0010
+419e00282fb90000
+2f89001f393c0001
+419d00187d3c07b4
+4bfffc117fc3f378
+3b2000004bffff8c
+2f9f00204bffffcc
+7fbd0e707fbfe214
+3c62ffff409e0094
+4bfff96938637f00
+7fc3f37860000000
+4bfffb913be00000
+419c00987f9fe800
+392000003d40c010
+3860000f614a0818
+f92a0000794a0020
+614a08203d40c010
+f92a0000794a0020
+614a08283d40c010
+f92a0000794a0020
+3940000b3d20c010
+7929002061290808
+3d20c010f9490000
+6129081039400001
+f949000079290020
+382101004bfffafd
+7cbfe050480013a0
+7ca50e703c62ffff
+7fa4eb787ca50194
+7ca507b438637f08
+600000004bfff8c5
+7fc3f3784bffff5c
+4bfffb313bff0001
+4bffff547fff07b4
+0100000000000000
+3c4c000100000f80
+7c0802a6384296a4
+612908003d20c010
+792900203940000e
+38637fc03c62ffff
+f821ffa1f8010010
+4bfff869f9490000
+3821006060000000
+7c0803a6e8010010
+000000004e800020
+0000008001000000
+384296503c4c0001
+3d20c0107c0802a6
+3940000161290800
+3c62ffff79290020
+f801001038637f38
+f9490000f821ffa1
+600000004bfff815
+e801001038210060
+4e8000207c0803a6
+0100000000000000
+3c4c000100000080
+7c0802a6384295fc
+7d0903a639000080
+3d2040003d40aaaa
+4800126d614aaaaa
+91490000f821ff81
+4200fff839290004
+3d00aaaa39400080
+3d2040007d4903a6
+6108aaaa3be00000
+7f8a400081490000
+3bff0001419e000c
+392900047fff07b4
+390000804200ffe8
+7d0903a63d405555
+614a55553d204000
+3929000491490000
+394000804200fff8
+7d4903a63d005555
+610855553d204000
+7f8a400081490000
+3bff0001419e000c
+392900047fff07b4
+2fbf00004200ffe8
+3c62ffff419e001c
+7fe4fb7838a00100
+4bfff72138637e38
+3d00000860000000
+7d0903a63ce08020
+3d40400060e70003
+78e7002039200001
+792907e07928f842
+394a00047d2900d0
+7d2942787d293838
+4200ffe4912afffc
+3ce080203d000008
+60e700037d0903a6
+3ba000003d404000
+78e7002039200001
+792907e07928f842
+7d2938387d2900d0
+810a00007d294278
+419e000c7f884840
+7fbd07b43bbd0001
+4200ffd4394a0004
+419e001c2fbd0000
+3ca000083c62ffff
+38637e607fa4eb78
+600000004bfff675
+3940000039202000
+3d2a10007d2903a6
+3929000279480020
+79291764394a0001
+4200ffe891090000
+3940000039202000
+3bc000007d2903a6
+792917643d2a1000
+5529043e81290008
+419e000c7f895000
+7fde07b43bde0001
+4200ffdc394a0001
+419e001c2fbe0000
+38a020003c62ffff
+38637e887fc4f378
+600000004bfff5f5
+386000007fffea14
+2f9f00007ffff214
+3c62ffff409e009c
+4bfff5d138637eb0
+7d3602a660000000
+792a00203d000008
+392000007d0903a6
+792700203d091000
+3929000179081764
+4200ffec90e80000
+7d2450507c9602a6
+7c844b963c806400
+7d3602a678840020
+792900203d000008
+3d4040007d0903a6
+394a0004810a0000
+7cb602a64200fff8
+3ca064007d254850
+3c62ffff7ca54b96
+78a5006038637ec0
+600000004bfff54d
+3821008038600001
+0000000048001028
+0000038001000000
+384293383c4c0001
+48000f817c0802a6
+3fe0c010f821fec1
+63ff00283f80c010
+7bff0020639c0040
+7b9c00203bc00001
+3e02ffff3ba00000
+4bfffc613aa00000
+3b61007038600000
+fbdf00004bfff71d
+38600001fbdc0000
+fbbf00003ae10063
+3a107f583ac10061
+392000024bfff6fd
+38637f783c62ffff
+fbdc0000f93f0000
+3be00000fbbf0000
+600000004bfff4a5
+fb6100803d22ffff
+f921008839297f88
+39297f183d22ffff
+3d22fffff9210090
+f921009839297f98
+39297fa03d22ffff
+3b800001f92100a0
+3e40c0103e20c010
+3e80c0103e60c010
+623108187f9cf830
+6273082862520820
+7f9c07b462940808
+3b0000003bc00000
+7a3100203ba00000
+7a7300207a520020
+480000447a940020
+2f9d00077fbeeb78
+3d20c010419e02d0
+612900283d40c010
+79290020614a0048
+39000001794a0020
+fb8900003bbd0001
+7f58d3787fbd07b4
+faa90000f90a0000
+3b40000439410060
+7d5953783920002a
+38e0000039000004
+3cc080207d0903a6
+60c600037928f842
+7d2900d0792907e0
+7d29303878c60020
+790900207d284278
+38e700017d0a39ae
+3b5affff4200ffd4
+7b5a0021394a0004
+392000094082ffb8
+fb520000fb510000
+fb53000039e00001
+39c000013860000f
+3d20c010f9340000
+7929002061290810
+4bfff561f9e90000
+7f24cb783c60c010
+3b20002060630830
+4bfff60178630020
+388100643c60c010
+7863002060630898
+3c60c0104bfff5ed
+6063090038810068
+4bfff5d978630020
+3881006c3c60c010
+7863002060630968
+3d20c0104bfff5c5
+e861008839400017
+7fa5eb7861290950
+7fe4fb7879290020
+3d20c010fb490000
+7929002061290958
+3d20c010fb490000
+7929002061290960
+3d20c010fb490000
+7929002061290940
+3d20c010f9490000
+7929002061290948
+3d20c010f9e90000
+79290020612908e8
+3d20c010fb490000
+79290020612908f0
+3d20c010fb490000
+79290020612908f8
+3b400000fb490000
+600000004bfff265
+4bfff4917fe3fb78
+394000253d20c010
+3860000f612908d8
+39e0000179290020
+3d20c010f9490000
+79290020612908e0
+4bfff439f9c90000
+e921009039400000
+e881008079480fa4
+7c69402af94100a8
+e94100a84bfff501
+7d1650ae88fb0001
+409e00ac7f883800
+88fb00037d1750ae
+409e009c7f883800
+2baa0010394a0004
+e8610098409effbc
+3b39ffff7de47b78
+7f5a07b47f5a7a14
+600000004bfff1c5
+4bfff4397fe3fb78
+4082ff5c7b390021
+4bfff1a9e86100a0
+3920000b60000000
+fb320000fb310000
+fb33000039400001
+f93400003860000f
+612908103d20c010
+f949000079290020
+7fe3fb784bfff385
+7e0383784bfff495
+600000004bfff165
+419cfd3c7f98d000
+4bfffd387f1ac378
+4bffff6439e00000
+7fc5f3783c62ffff
+38637fa87fe4fb78
+600000004bfff135
+3d40c0103d20c010
+614a004061290028
+794a002079290020
+7bde002039000001
+fb89000038fe0001
+f90a00007ce903a6
+3d40c010faa90000
+794a0020614a0048
+7fe3fb7842000034
+4bfff4093af7ffff
+3b7bffff7e038378
+600000004bfff0d5
+3ad6ffff2f9f0001
+3be00001419e001c
+fb8900004bfffc54
+faa90000f90a0000
+382101404bffffc0
+48000b5038600001
+0100000000000000
+3c4c000100001280
+7c0802a638428e9c
+38637f603c62ffff
+f821ff5148000afd
+3ee0c0103f00c010
+3f80c0103f60c010
+3fc0c0103fa0c010
+62f7100863181000
+639c0820637b0818
+63de080063bd0828
+600000004bfff045
+7b7b00203be00000
+7bbd00207b9c0020
+3920000c7bde0020
+7af700207b180020
+3f20c01038600000
+fbf70000fbf80000
+3f40c0106063c350
+fbfc0000fbfb0000
+635a081063390808
+f93e0000fbfd0000
+7b5a00207b390020
+4bfff1f13ac00003
+fbfb00003920000e
+38602710fbfc0000
+f93e0000fbfd0000
+4bfff1d13bc00001
+3940020039200002
+f93b0000386000c8
+39400006f95c0000
+3920000ff93d0000
+fbda0000f9390000
+fbfc0000fbfb0000
+f9390000fadd0000
+fbfb0000fbda0000
+39400009f95c0000
+f9390000fbdd0000
+f95b0000fbda0000
+f95c000039400920
+f9390000fbfd0000
+4bfff161fbda0000
+386000c839200004
+39200400f93b0000
+fbfd0000f93c0000
+fbda0000fad90000
+4bfffa054bfff13d
+4bfff7394bfff6e9
+2c230000fbd80000
+fbd7000040820010
+480009d8382100b0
+4bfffff438600001
+0100000000000000
+2c24000000000a80
+3881fff040820008
+f86400002b850024
+4d9d002038600000
+78c683e43cc00001
+e924000060c62600
+2b8a002089490000
+7cc75436419d002c
+4082001470e80001
+409e00542fa50000
+4800005c38a0000a
+f924000039290001
+2fa500004bffffcc
+2b8a0030409e0038
+409e003c38a0000a
+2f8a007889490001
+89490001409e0030
+2f8a007838a00010
+39290002409e0020
+48000014f9240000
+409e000c2f850010
+419effd82b8a0030
+4800003038600000
+54ca063e38c9ffd0
+419d00342b8a0009
+7f8928007cc90734
+38e700014c9c0020
+f8e400007c6519d2
+e8e400007c691a14
+2fa9000089270000
+4e800020409effc8
+554a063e3949ff9f
+419d00102b8a0019
+7d2907343929ffa9
+3949ffbf4bffffbc
+2b8a0019554a063e
+3929ffc94d9d0020
+000000004bffffe4
+0000000000000000
+7d4348ae39200000
+409e000c2f8a0000
+4e8000207d234b78
+4bffffe839290001
+0000000000000000
+3923ff9f00000000
+4d9d00202b890019
+7c6307b43863ffe0
+000000004e800020
+0000000000000000
+38428b783c4c0001
+3d2037367c0802a6
+612935347d908026
+65293332792907c6
+6129313091810008
+f821ffa1480007d9
+7cde33787c7d1b78
+f92100203be00000
+612964633d206665
+65296261792907c6
+f921002861293938
+2fa900007ca92b78
+2fbf0000409e0080
+3be00001409e0008
+386000007fbf2040
+2e270000419d0058
+7f65f3923b9fffff
+7ca928507d3bf1d2
+886500207ca12a14
+4bffff4141920010
+5463063e60000000
+e93d00002fbb0000
+7c69e1ae7f65db78
+409effc83b9cffff
+38600001e93d0000
+fbfd00007fe9fa14
+8181000838210060
+480007747d908120
+409e00142b9e0010
+3bff00017929e102
+4bffff687fff07b4
+4bfffff07d29f392
+0300000000000000
+3c4c000100000580
+7c0802a638428a6c
+f821ffb1480006e9
+7c7f1b78eb630000
+7cbd2b787c9c2378
+7fa3eb783bc00000
+600000004bfffe79
+409d00147fa3f040
+7d3b5050e95f0000
+419c00107fa9e040
+3860000138210050
+7d3df0ae480006f0
+992a00003bde0001
+39290001e93f0000
+4bffffb8f93f0000
+0100000000000000
+3c4c000100000580
+7c0802a6384289ec
+f821ffa148000661
+7c9b23787c7d1b78
+388000007ca32b78
+7cde337838a0000a
+7cfc3b78eb5d0000
+7d3f4b787d194378
+600000004bfffcb5
+7c6307b439400000
+409e006c2fbe0000
+409e00082faa0000
+7d3f521439400001
+7d2a07b47f834800
+7c6a1850409d0044
+786900202f830000
+419c001039290001
+7f8350003d408000
+39200001409e0008
+3929ffff2c290001
+e8fd000041820014
+7faad8407d5a3850
+38210060419c0030
+4800060438600000
+409e00142b9c0010
+394a00017bdee102
+4bffff7c7d4a07b4
+4bfffff07fdee392
+e95d00009b270000
+f95d0000394a0001
+000000004bffffa8
+0000078001000000
+384288f03c4c0001
+480005397c0802a6
+7c741b79f821fed1
+38600000f8610060
+2fa4000041820068
+39210040419e0060
+3ac4ffff3e42ffff
+f92100703b410020
+3ae0000060000000
+3a527fe839228030
+f92100783ba10060
+ebc1006089250000
+419e00102fa90000
+7fbfb0407ff4f050
+39200000419c0020
+e8610060993e0000
+7e8307b47e941850
+4800050838210130
+394500012b890025
+38e00000409e0488
+e901007089250000
+7cea07b4f8a10068
+390700017d2741ae
+7d0807b48d250001
+419e00582b890064
+419e00502b890069
+419e00482b890075
+419e00402b890078
+419e00382b890058
+419e00302b890070
+419e00282b890063
+419e00202b890073
+419e00182b890025
+419e00102b89004f
+38e700012b89006f
+394a0002409eff88
+7d4a07b42b890025
+7d5a52147d1a4214
+9aea002099280020
+393e0001409e0020
+39200025f9210060
+e9210068993e0000
+4bffff0438a90002
+7fffb05089210041
+eb6600003a260008
+3b0100423a600030
+712900fd3929ffd2
+3aa000004082039c
+3b8000003b200004
+39e0002d3a000001
+480001087ddb00d0
+38d800012b89006c
+419e033c88f80001
+2b890063419d0118
+419d0038419e0240
+419e01e82b89004f
+419e01882b890058
+554a063e3949ffd0
+419d00c42b8a0009
+7f81e214395c0001
+795c0020993c0020
+2b890068480000b0
+2b890069419e0304
+2b890064419e000c
+2b890075409effc8
+9aea00207d41e214
+419e00347f6adb78
+3929ffff57291838
+7f6948397e094836
+99e8000041820020
+39290001e9210060
+7b291f24f9210060
+7dca50387d52482a
+7d465378e8810060
+f941008038e0000a
+392000007f45d378
+7fa3eb787e689b78
+7c84f8507c9e2050
+e88100604bfffc9d
+7ea7ab78e9410080
+7c9e205038c0000a
+7c84f8507d455378
+4bfffaed7fa3eb78
+893800003b180001
+2fa90000e9010060
+7d5e4050419e0010
+419dfee47fbf5040
+4bfffe907e268b78
+419e016c2b890073
+2b89006f419d006c
+2b890070419e00d4
+7d21e214409efef0
+7f66db7838e00010
+9ae900207c8af850
+3920000239000020
+7fa3eb787f45d378
+e88100604bfffc0d
+7fa3eb78e8a10078
+7c84f8507c9e2050
+e88100604bfffb75
+38c000107ea7ab78
+7c9e20507f65db78
+2b8900784bffff5c
+2b89007a419e0018
+2b890075419e01cc
+3aa000014bfffeb8
+38e000107d21e214
+7e689b787c8af850
+7b291f249ae90020
+7fa3eb787f45d378
+392000007d72482a
+7d665b787f6b5838
+4bfffb89f9610080
+7ea7ab78e8810060
+7c9e205038c00010
+7d655b78e9610080
+7d21e2144bfffeec
+7c8af85038e00008
+9ae900207e689b78
+7f45d3787b291f24
+7d72482a7fa3eb78
+7f6b583839200000
+f96100807d665b78
+e88100604bfffb35
+38c000087ea7ab78
+4bffffac7c9e2050
+390000207d21e214
+38c0000138e0000a
+7f45d3789ae90020
+7c8af85039200000
+4bfffaf97fa3eb78
+9b690000e9210060
+39290001e9210060
+4bfffe6cf9210060
+38a0000a7d21e214
+f9410088f9010090
+7f43d37838800000
+4bfff7a99ae90020
+f861008060000000
+4bfff8cd7f63db78
+e921008060000000
+409d00407fa91840
+e94100887c634850
+2fa30000e9010090
+7d4af85039230001
+39200001409e0008
+e8c100602c290001
+418200103929ffff
+7faa38407ce83050
+e8810060419d0020
+7fa3eb787f65db78
+7c84f8507c9e2050
+4bfffdd44bfff9cd
+98e6000038e00020
+38e70001e8e10060
+4bffffb4f8e10060
+3b2000082b87006c
+7cd83378409efdb0
+2b8700684bfffda8
+409efd9c3b200002
+3b2000017cd83378
+3b2000084bfffd90
+3a6000204bfffd88
+4bfffc603b010041
+7d455378993e0000
+39290001e9210060
+4bfffb24f9210060
+0100000000000000
+f9c1ff7000001280
+fa01ff80f9e1ff78
+fa41ff90fa21ff88
+fa81ffa0fa61ff98
+fac1ffb0faa1ffa8
+fb01ffc0fae1ffb8
+fb41ffd0fb21ffc8
+fb81ffe0fb61ffd8
+fbc1fff0fba1ffe8
+f8010010fbe1fff8
+e9c1ff704e800020
+ea01ff80e9e1ff78
+ea41ff90ea21ff88
+ea81ffa0ea61ff98
+eac1ffb0eaa1ffa8
+eb01ffc0eae1ffb8
+eb41ffd0eb21ffc8
+eb81ffe0eb61ffd8
+eba1ffe8e8010010
+ebc1fff07c0803a6
+4e800020ebe1fff8
+e8010010ebc1fff0
+7c0803a6ebe1fff8
+000000004e800020
+6d6f636c65570a0a
+63694d206f742065
+2120747461776f72
+0000000000000a0a
+67697320636f5320
+203a65727574616e
+0a786c6c36313025
+0000000000000000
+656620636f532020
+203a736572757461
+0000000000000000
+0000002054524155
+000000204d415244
+2020202020202020
+203a4d4152422020
+0a424b20646c6c25
+0000000000000000
+2020202020202020
+203a4d4152442020
+0a424d20646c6c25
+0000000000000000
+2020202020202020
+203a4b4c43202020
+7a484d20646c6c25
+000000000000000a
+6564346264343964
+0000000000000000
+0036656663396364
+4d4152446574694c
+6620746c69756220
+6567694d206d6f72
+646e61207325206e
+2520586574694c20
+0000000000000a73
+20676e69746f6f42
+415242206d6f7266
+0000000a2e2e2e4d
+20747365746d654d
+6c69616620737562
+252f6425203a6465
+73726f7272652064
+000000000000000a
+20747365746d654d
+6961662061746164
+2f6425203a64656c
+726f727265206425
+0000000000000a73
+20747365746d654d
+6961662072646461
+2f6425203a64656c
+726f727265206425
+0000000000000a73
+20747365746d654d
+00000000000a4b4f
+64656570736d654d
+3a73657469725720
+7370624d646c2520
+203a736461655220
+0a7370624d646c25
+0000000000000000
+203a7379616c6564
+0000000000000000
+000000000000002d
+30252d2b64323025
+0000000000006432
+00000000c0100850
+00000000c01008b8
+00000000c0100920
+00000000c0100988
+6f6e204d41524453
+207265646e752077
+6572617764726168
+6c6f72746e6f6320
+000000000000000a
+696c616974696e49
+52445320676e697a
+00000a2e2e2e4d41
+76656c2064616552
+000a3a676e696c65
+642562202c64256d
+00000000007c203a
+0000000000006425
+000000000000207c
+256d203a74736562
+0020642562202c64
+0000000078323025
+6f6e204d41524453
+207265646e752077
+6572617774666f73
+6c6f72746e6f6320
+000000000000000a
+0000000000000000
+00000000000000ff
+000000000000ffff
+0000000000ffffff
+00000000ffffffff
+000000ffffffffff
+0000ffffffffffff
+00ffffffffffffff
+ffffffffffffffff
+0000000000007830
//--------------------------------------------------------------------------------
-// Auto-generated by Migen (dc9cfe6) & LiteX (79ee135f) on 2020-05-08 01:29:17
+// Auto-generated by Migen (dc9cfe6) & LiteX (d94db4de) on 2020-05-09 10:54:03
//--------------------------------------------------------------------------------
module litedram_core(
- output reg serial_tx,
- input wire serial_rx,
input wire clk,
input wire rst,
output wire pll_locked,
output wire ddram_reset_n,
output wire init_done,
output wire init_error,
+ input wire [13:0] csr_port0_adr,
+ input wire csr_port0_we,
+ input wire [7:0] csr_port0_dat_w,
+ output wire [7:0] csr_port0_dat_r,
output wire user_clk,
output wire user_rst,
input wire user_port_native_0_cmd_valid,
output wire [127:0] user_port_native_0_rdata_data
);
-reg soc_litedramcore_soccontroller_reset_storage = 1'd0;
-reg soc_litedramcore_soccontroller_reset_re = 1'd0;
-reg [31:0] soc_litedramcore_soccontroller_scratch_storage = 32'd305419896;
-reg soc_litedramcore_soccontroller_scratch_re = 1'd0;
-wire [31:0] soc_litedramcore_soccontroller_bus_errors_status;
-wire soc_litedramcore_soccontroller_bus_errors_we;
-wire soc_litedramcore_soccontroller_reset;
-wire soc_litedramcore_soccontroller_bus_error;
-reg [31:0] soc_litedramcore_soccontroller_bus_errors = 32'd0;
-wire soc_litedramcore_cpu_reset;
-reg [31:0] soc_litedramcore_cpu_interrupt = 32'd0;
-wire [29:0] soc_litedramcore_cpu_ibus_adr;
-wire [31:0] soc_litedramcore_cpu_ibus_dat_w;
-wire [31:0] soc_litedramcore_cpu_ibus_dat_r;
-wire [3:0] soc_litedramcore_cpu_ibus_sel;
-wire soc_litedramcore_cpu_ibus_cyc;
-wire soc_litedramcore_cpu_ibus_stb;
-wire soc_litedramcore_cpu_ibus_ack;
-wire soc_litedramcore_cpu_ibus_we;
-wire [2:0] soc_litedramcore_cpu_ibus_cti;
-wire [1:0] soc_litedramcore_cpu_ibus_bte;
-wire soc_litedramcore_cpu_ibus_err;
-wire [29:0] soc_litedramcore_cpu_dbus_adr;
-wire [31:0] soc_litedramcore_cpu_dbus_dat_w;
-wire [31:0] soc_litedramcore_cpu_dbus_dat_r;
-wire [3:0] soc_litedramcore_cpu_dbus_sel;
-wire soc_litedramcore_cpu_dbus_cyc;
-wire soc_litedramcore_cpu_dbus_stb;
-wire soc_litedramcore_cpu_dbus_ack;
-wire soc_litedramcore_cpu_dbus_we;
-wire [2:0] soc_litedramcore_cpu_dbus_cti;
-wire [1:0] soc_litedramcore_cpu_dbus_bte;
-wire soc_litedramcore_cpu_dbus_err;
-reg [31:0] soc_litedramcore_vexriscv = 32'd0;
-wire [29:0] soc_litedramcore_litedramcore_ram_bus_adr;
-wire [31:0] soc_litedramcore_litedramcore_ram_bus_dat_w;
-wire [31:0] soc_litedramcore_litedramcore_ram_bus_dat_r;
-wire [3:0] soc_litedramcore_litedramcore_ram_bus_sel;
-wire soc_litedramcore_litedramcore_ram_bus_cyc;
-wire soc_litedramcore_litedramcore_ram_bus_stb;
-reg soc_litedramcore_litedramcore_ram_bus_ack = 1'd0;
-wire soc_litedramcore_litedramcore_ram_bus_we;
-wire [2:0] soc_litedramcore_litedramcore_ram_bus_cti;
-wire [1:0] soc_litedramcore_litedramcore_ram_bus_bte;
-reg soc_litedramcore_litedramcore_ram_bus_err = 1'd0;
-wire [12:0] soc_litedramcore_litedramcore_adr;
-wire [31:0] soc_litedramcore_litedramcore_dat_r;
-wire [29:0] soc_litedramcore_ram_bus_ram_bus_adr;
-wire [31:0] soc_litedramcore_ram_bus_ram_bus_dat_w;
-wire [31:0] soc_litedramcore_ram_bus_ram_bus_dat_r;
-wire [3:0] soc_litedramcore_ram_bus_ram_bus_sel;
-wire soc_litedramcore_ram_bus_ram_bus_cyc;
-wire soc_litedramcore_ram_bus_ram_bus_stb;
-reg soc_litedramcore_ram_bus_ram_bus_ack = 1'd0;
-wire soc_litedramcore_ram_bus_ram_bus_we;
-wire [2:0] soc_litedramcore_ram_bus_ram_bus_cti;
-wire [1:0] soc_litedramcore_ram_bus_ram_bus_bte;
-reg soc_litedramcore_ram_bus_ram_bus_err = 1'd0;
-wire [9:0] soc_litedramcore_ram_adr;
-wire [31:0] soc_litedramcore_ram_dat_r;
-reg [3:0] soc_litedramcore_ram_we = 4'd0;
-wire [31:0] soc_litedramcore_ram_dat_w;
-reg [31:0] soc_litedramcore_storage = 32'd4947802;
-reg soc_litedramcore_re = 1'd0;
-wire soc_litedramcore_sink_valid;
-reg soc_litedramcore_sink_ready = 1'd0;
-wire soc_litedramcore_sink_first;
-wire soc_litedramcore_sink_last;
-wire [7:0] soc_litedramcore_sink_payload_data;
-reg soc_litedramcore_uart_clk_txen = 1'd0;
-reg [31:0] soc_litedramcore_phase_accumulator_tx = 32'd0;
-reg [7:0] soc_litedramcore_tx_reg = 8'd0;
-reg [3:0] soc_litedramcore_tx_bitcount = 4'd0;
-reg soc_litedramcore_tx_busy = 1'd0;
-reg soc_litedramcore_source_valid = 1'd0;
-wire soc_litedramcore_source_ready;
-reg soc_litedramcore_source_first = 1'd0;
-reg soc_litedramcore_source_last = 1'd0;
-reg [7:0] soc_litedramcore_source_payload_data = 8'd0;
-reg soc_litedramcore_uart_clk_rxen = 1'd0;
-reg [31:0] soc_litedramcore_phase_accumulator_rx = 32'd0;
-wire soc_litedramcore_rx;
-reg soc_litedramcore_rx_r = 1'd0;
-reg [7:0] soc_litedramcore_rx_reg = 8'd0;
-reg [3:0] soc_litedramcore_rx_bitcount = 4'd0;
-reg soc_litedramcore_rx_busy = 1'd0;
-wire soc_litedramcore_uart_rxtx_re;
-wire [7:0] soc_litedramcore_uart_rxtx_r;
-wire soc_litedramcore_uart_rxtx_we;
-wire [7:0] soc_litedramcore_uart_rxtx_w;
-wire soc_litedramcore_uart_txfull_status;
-wire soc_litedramcore_uart_txfull_we;
-wire soc_litedramcore_uart_rxempty_status;
-wire soc_litedramcore_uart_rxempty_we;
-wire soc_litedramcore_uart_irq;
-wire soc_litedramcore_uart_tx_status;
-reg soc_litedramcore_uart_tx_pending = 1'd0;
-wire soc_litedramcore_uart_tx_trigger;
-reg soc_litedramcore_uart_tx_clear = 1'd0;
-reg soc_litedramcore_uart_tx_old_trigger = 1'd0;
-wire soc_litedramcore_uart_rx_status;
-reg soc_litedramcore_uart_rx_pending = 1'd0;
-wire soc_litedramcore_uart_rx_trigger;
-reg soc_litedramcore_uart_rx_clear = 1'd0;
-reg soc_litedramcore_uart_rx_old_trigger = 1'd0;
-wire soc_litedramcore_uart_eventmanager_status_re;
-wire [1:0] soc_litedramcore_uart_eventmanager_status_r;
-wire soc_litedramcore_uart_eventmanager_status_we;
-reg [1:0] soc_litedramcore_uart_eventmanager_status_w = 2'd0;
-wire soc_litedramcore_uart_eventmanager_pending_re;
-wire [1:0] soc_litedramcore_uart_eventmanager_pending_r;
-wire soc_litedramcore_uart_eventmanager_pending_we;
-reg [1:0] soc_litedramcore_uart_eventmanager_pending_w = 2'd0;
-reg [1:0] soc_litedramcore_uart_eventmanager_storage = 2'd0;
-reg soc_litedramcore_uart_eventmanager_re = 1'd0;
-wire soc_litedramcore_uart_uart_sink_valid;
-wire soc_litedramcore_uart_uart_sink_ready;
-wire soc_litedramcore_uart_uart_sink_first;
-wire soc_litedramcore_uart_uart_sink_last;
-wire [7:0] soc_litedramcore_uart_uart_sink_payload_data;
-wire soc_litedramcore_uart_uart_source_valid;
-wire soc_litedramcore_uart_uart_source_ready;
-wire soc_litedramcore_uart_uart_source_first;
-wire soc_litedramcore_uart_uart_source_last;
-wire [7:0] soc_litedramcore_uart_uart_source_payload_data;
-wire soc_litedramcore_uart_tx_fifo_sink_valid;
-wire soc_litedramcore_uart_tx_fifo_sink_ready;
-reg soc_litedramcore_uart_tx_fifo_sink_first = 1'd0;
-reg soc_litedramcore_uart_tx_fifo_sink_last = 1'd0;
-wire [7:0] soc_litedramcore_uart_tx_fifo_sink_payload_data;
-wire soc_litedramcore_uart_tx_fifo_source_valid;
-wire soc_litedramcore_uart_tx_fifo_source_ready;
-wire soc_litedramcore_uart_tx_fifo_source_first;
-wire soc_litedramcore_uart_tx_fifo_source_last;
-wire [7:0] soc_litedramcore_uart_tx_fifo_source_payload_data;
-wire soc_litedramcore_uart_tx_fifo_re;
-reg soc_litedramcore_uart_tx_fifo_readable = 1'd0;
-wire soc_litedramcore_uart_tx_fifo_syncfifo_we;
-wire soc_litedramcore_uart_tx_fifo_syncfifo_writable;
-wire soc_litedramcore_uart_tx_fifo_syncfifo_re;
-wire soc_litedramcore_uart_tx_fifo_syncfifo_readable;
-wire [9:0] soc_litedramcore_uart_tx_fifo_syncfifo_din;
-wire [9:0] soc_litedramcore_uart_tx_fifo_syncfifo_dout;
-reg [4:0] soc_litedramcore_uart_tx_fifo_level0 = 5'd0;
-reg soc_litedramcore_uart_tx_fifo_replace = 1'd0;
-reg [3:0] soc_litedramcore_uart_tx_fifo_produce = 4'd0;
-reg [3:0] soc_litedramcore_uart_tx_fifo_consume = 4'd0;
-reg [3:0] soc_litedramcore_uart_tx_fifo_wrport_adr = 4'd0;
-wire [9:0] soc_litedramcore_uart_tx_fifo_wrport_dat_r;
-wire soc_litedramcore_uart_tx_fifo_wrport_we;
-wire [9:0] soc_litedramcore_uart_tx_fifo_wrport_dat_w;
-wire soc_litedramcore_uart_tx_fifo_do_read;
-wire [3:0] soc_litedramcore_uart_tx_fifo_rdport_adr;
-wire [9:0] soc_litedramcore_uart_tx_fifo_rdport_dat_r;
-wire soc_litedramcore_uart_tx_fifo_rdport_re;
-wire [4:0] soc_litedramcore_uart_tx_fifo_level1;
-wire [7:0] soc_litedramcore_uart_tx_fifo_fifo_in_payload_data;
-wire soc_litedramcore_uart_tx_fifo_fifo_in_first;
-wire soc_litedramcore_uart_tx_fifo_fifo_in_last;
-wire [7:0] soc_litedramcore_uart_tx_fifo_fifo_out_payload_data;
-wire soc_litedramcore_uart_tx_fifo_fifo_out_first;
-wire soc_litedramcore_uart_tx_fifo_fifo_out_last;
-wire soc_litedramcore_uart_rx_fifo_sink_valid;
-wire soc_litedramcore_uart_rx_fifo_sink_ready;
-wire soc_litedramcore_uart_rx_fifo_sink_first;
-wire soc_litedramcore_uart_rx_fifo_sink_last;
-wire [7:0] soc_litedramcore_uart_rx_fifo_sink_payload_data;
-wire soc_litedramcore_uart_rx_fifo_source_valid;
-wire soc_litedramcore_uart_rx_fifo_source_ready;
-wire soc_litedramcore_uart_rx_fifo_source_first;
-wire soc_litedramcore_uart_rx_fifo_source_last;
-wire [7:0] soc_litedramcore_uart_rx_fifo_source_payload_data;
-wire soc_litedramcore_uart_rx_fifo_re;
-reg soc_litedramcore_uart_rx_fifo_readable = 1'd0;
-wire soc_litedramcore_uart_rx_fifo_syncfifo_we;
-wire soc_litedramcore_uart_rx_fifo_syncfifo_writable;
-wire soc_litedramcore_uart_rx_fifo_syncfifo_re;
-wire soc_litedramcore_uart_rx_fifo_syncfifo_readable;
-wire [9:0] soc_litedramcore_uart_rx_fifo_syncfifo_din;
-wire [9:0] soc_litedramcore_uart_rx_fifo_syncfifo_dout;
-reg [4:0] soc_litedramcore_uart_rx_fifo_level0 = 5'd0;
-reg soc_litedramcore_uart_rx_fifo_replace = 1'd0;
-reg [3:0] soc_litedramcore_uart_rx_fifo_produce = 4'd0;
-reg [3:0] soc_litedramcore_uart_rx_fifo_consume = 4'd0;
-reg [3:0] soc_litedramcore_uart_rx_fifo_wrport_adr = 4'd0;
-wire [9:0] soc_litedramcore_uart_rx_fifo_wrport_dat_r;
-wire soc_litedramcore_uart_rx_fifo_wrport_we;
-wire [9:0] soc_litedramcore_uart_rx_fifo_wrport_dat_w;
-wire soc_litedramcore_uart_rx_fifo_do_read;
-wire [3:0] soc_litedramcore_uart_rx_fifo_rdport_adr;
-wire [9:0] soc_litedramcore_uart_rx_fifo_rdport_dat_r;
-wire soc_litedramcore_uart_rx_fifo_rdport_re;
-wire [4:0] soc_litedramcore_uart_rx_fifo_level1;
-wire [7:0] soc_litedramcore_uart_rx_fifo_fifo_in_payload_data;
-wire soc_litedramcore_uart_rx_fifo_fifo_in_first;
-wire soc_litedramcore_uart_rx_fifo_fifo_in_last;
-wire [7:0] soc_litedramcore_uart_rx_fifo_fifo_out_payload_data;
-wire soc_litedramcore_uart_rx_fifo_fifo_out_first;
-wire soc_litedramcore_uart_rx_fifo_fifo_out_last;
-reg soc_litedramcore_uart_reset = 1'd0;
-reg [31:0] soc_litedramcore_timer_load_storage = 32'd0;
-reg soc_litedramcore_timer_load_re = 1'd0;
-reg [31:0] soc_litedramcore_timer_reload_storage = 32'd0;
-reg soc_litedramcore_timer_reload_re = 1'd0;
-reg soc_litedramcore_timer_en_storage = 1'd0;
-reg soc_litedramcore_timer_en_re = 1'd0;
-reg soc_litedramcore_timer_update_value_storage = 1'd0;
-reg soc_litedramcore_timer_update_value_re = 1'd0;
-reg [31:0] soc_litedramcore_timer_value_status = 32'd0;
-wire soc_litedramcore_timer_value_we;
-wire soc_litedramcore_timer_irq;
-wire soc_litedramcore_timer_zero_status;
-reg soc_litedramcore_timer_zero_pending = 1'd0;
-wire soc_litedramcore_timer_zero_trigger;
-reg soc_litedramcore_timer_zero_clear = 1'd0;
-reg soc_litedramcore_timer_zero_old_trigger = 1'd0;
-wire soc_litedramcore_timer_eventmanager_status_re;
-wire soc_litedramcore_timer_eventmanager_status_r;
-wire soc_litedramcore_timer_eventmanager_status_we;
-wire soc_litedramcore_timer_eventmanager_status_w;
-wire soc_litedramcore_timer_eventmanager_pending_re;
-wire soc_litedramcore_timer_eventmanager_pending_r;
-wire soc_litedramcore_timer_eventmanager_pending_we;
-wire soc_litedramcore_timer_eventmanager_pending_w;
-reg soc_litedramcore_timer_eventmanager_storage = 1'd0;
-reg soc_litedramcore_timer_eventmanager_re = 1'd0;
-reg [31:0] soc_litedramcore_timer_value = 32'd0;
-reg [13:0] soc_litedramcore_interface_adr = 14'd0;
-reg soc_litedramcore_interface_we = 1'd0;
-wire [7:0] soc_litedramcore_interface_dat_w;
-wire [7:0] soc_litedramcore_interface_dat_r;
-wire [29:0] soc_litedramcore_bus_wishbone_adr;
-wire [31:0] soc_litedramcore_bus_wishbone_dat_w;
-wire [31:0] soc_litedramcore_bus_wishbone_dat_r;
-wire [3:0] soc_litedramcore_bus_wishbone_sel;
-wire soc_litedramcore_bus_wishbone_cyc;
-wire soc_litedramcore_bus_wishbone_stb;
-reg soc_litedramcore_bus_wishbone_ack = 1'd0;
-wire soc_litedramcore_bus_wishbone_we;
-wire [2:0] soc_litedramcore_bus_wishbone_cti;
-wire [1:0] soc_litedramcore_bus_wishbone_bte;
-reg soc_litedramcore_bus_wishbone_err = 1'd0;
wire sys_clk;
wire sys_rst;
wire sys4x_clk;
wire sys4x_dqs_clk;
wire iodelay_clk;
wire iodelay_rst;
-wire soc_sys_pll_reset;
-wire soc_sys_pll_locked;
-wire soc_s7pll0_clkin;
-wire soc_s7pll0_clkout0;
-wire soc_s7pll0_clkout_buf0;
-wire soc_s7pll0_clkout1;
-wire soc_s7pll0_clkout_buf1;
-wire soc_s7pll0_clkout2;
-wire soc_s7pll0_clkout_buf2;
-wire soc_iodelay_pll_reset;
-wire soc_iodelay_pll_locked;
-wire soc_s7pll1_clkin;
-wire soc_s7pll1_clkout;
-wire soc_s7pll1_clkout_buf;
-reg [3:0] soc_reset_counter = 4'd15;
-reg soc_ic_reset = 1'd1;
-reg [4:0] soc_a7ddrphy_half_sys8x_taps_storage = 5'd8;
-reg soc_a7ddrphy_half_sys8x_taps_re = 1'd0;
-reg soc_a7ddrphy_wlevel_en_storage = 1'd0;
-reg soc_a7ddrphy_wlevel_en_re = 1'd0;
-wire soc_a7ddrphy_wlevel_strobe_re;
-wire soc_a7ddrphy_wlevel_strobe_r;
-wire soc_a7ddrphy_wlevel_strobe_we;
-reg soc_a7ddrphy_wlevel_strobe_w = 1'd0;
-wire soc_a7ddrphy_cdly_rst_re;
-wire soc_a7ddrphy_cdly_rst_r;
-wire soc_a7ddrphy_cdly_rst_we;
-reg soc_a7ddrphy_cdly_rst_w = 1'd0;
-wire soc_a7ddrphy_cdly_inc_re;
-wire soc_a7ddrphy_cdly_inc_r;
-wire soc_a7ddrphy_cdly_inc_we;
-reg soc_a7ddrphy_cdly_inc_w = 1'd0;
-reg [1:0] soc_a7ddrphy_dly_sel_storage = 2'd0;
-reg soc_a7ddrphy_dly_sel_re = 1'd0;
-wire soc_a7ddrphy_rdly_dq_rst_re;
-wire soc_a7ddrphy_rdly_dq_rst_r;
-wire soc_a7ddrphy_rdly_dq_rst_we;
-reg soc_a7ddrphy_rdly_dq_rst_w = 1'd0;
-wire soc_a7ddrphy_rdly_dq_inc_re;
-wire soc_a7ddrphy_rdly_dq_inc_r;
-wire soc_a7ddrphy_rdly_dq_inc_we;
-reg soc_a7ddrphy_rdly_dq_inc_w = 1'd0;
-wire soc_a7ddrphy_rdly_dq_bitslip_rst_re;
-wire soc_a7ddrphy_rdly_dq_bitslip_rst_r;
-wire soc_a7ddrphy_rdly_dq_bitslip_rst_we;
-reg soc_a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0;
-wire soc_a7ddrphy_rdly_dq_bitslip_re;
-wire soc_a7ddrphy_rdly_dq_bitslip_r;
-wire soc_a7ddrphy_rdly_dq_bitslip_we;
-reg soc_a7ddrphy_rdly_dq_bitslip_w = 1'd0;
-wire [13:0] soc_a7ddrphy_dfi_p0_address;
-wire [2:0] soc_a7ddrphy_dfi_p0_bank;
-wire soc_a7ddrphy_dfi_p0_cas_n;
-wire soc_a7ddrphy_dfi_p0_cs_n;
-wire soc_a7ddrphy_dfi_p0_ras_n;
-wire soc_a7ddrphy_dfi_p0_we_n;
-wire soc_a7ddrphy_dfi_p0_cke;
-wire soc_a7ddrphy_dfi_p0_odt;
-wire soc_a7ddrphy_dfi_p0_reset_n;
-wire soc_a7ddrphy_dfi_p0_act_n;
-wire [31:0] soc_a7ddrphy_dfi_p0_wrdata;
-wire soc_a7ddrphy_dfi_p0_wrdata_en;
-wire [3:0] soc_a7ddrphy_dfi_p0_wrdata_mask;
-wire soc_a7ddrphy_dfi_p0_rddata_en;
-reg [31:0] soc_a7ddrphy_dfi_p0_rddata = 32'd0;
-reg soc_a7ddrphy_dfi_p0_rddata_valid = 1'd0;
-wire [13:0] soc_a7ddrphy_dfi_p1_address;
-wire [2:0] soc_a7ddrphy_dfi_p1_bank;
-wire soc_a7ddrphy_dfi_p1_cas_n;
-wire soc_a7ddrphy_dfi_p1_cs_n;
-wire soc_a7ddrphy_dfi_p1_ras_n;
-wire soc_a7ddrphy_dfi_p1_we_n;
-wire soc_a7ddrphy_dfi_p1_cke;
-wire soc_a7ddrphy_dfi_p1_odt;
-wire soc_a7ddrphy_dfi_p1_reset_n;
-wire soc_a7ddrphy_dfi_p1_act_n;
-wire [31:0] soc_a7ddrphy_dfi_p1_wrdata;
-wire soc_a7ddrphy_dfi_p1_wrdata_en;
-wire [3:0] soc_a7ddrphy_dfi_p1_wrdata_mask;
-wire soc_a7ddrphy_dfi_p1_rddata_en;
-reg [31:0] soc_a7ddrphy_dfi_p1_rddata = 32'd0;
-reg soc_a7ddrphy_dfi_p1_rddata_valid = 1'd0;
-wire [13:0] soc_a7ddrphy_dfi_p2_address;
-wire [2:0] soc_a7ddrphy_dfi_p2_bank;
-wire soc_a7ddrphy_dfi_p2_cas_n;
-wire soc_a7ddrphy_dfi_p2_cs_n;
-wire soc_a7ddrphy_dfi_p2_ras_n;
-wire soc_a7ddrphy_dfi_p2_we_n;
-wire soc_a7ddrphy_dfi_p2_cke;
-wire soc_a7ddrphy_dfi_p2_odt;
-wire soc_a7ddrphy_dfi_p2_reset_n;
-wire soc_a7ddrphy_dfi_p2_act_n;
-wire [31:0] soc_a7ddrphy_dfi_p2_wrdata;
-wire soc_a7ddrphy_dfi_p2_wrdata_en;
-wire [3:0] soc_a7ddrphy_dfi_p2_wrdata_mask;
-wire soc_a7ddrphy_dfi_p2_rddata_en;
-reg [31:0] soc_a7ddrphy_dfi_p2_rddata = 32'd0;
-reg soc_a7ddrphy_dfi_p2_rddata_valid = 1'd0;
-wire [13:0] soc_a7ddrphy_dfi_p3_address;
-wire [2:0] soc_a7ddrphy_dfi_p3_bank;
-wire soc_a7ddrphy_dfi_p3_cas_n;
-wire soc_a7ddrphy_dfi_p3_cs_n;
-wire soc_a7ddrphy_dfi_p3_ras_n;
-wire soc_a7ddrphy_dfi_p3_we_n;
-wire soc_a7ddrphy_dfi_p3_cke;
-wire soc_a7ddrphy_dfi_p3_odt;
-wire soc_a7ddrphy_dfi_p3_reset_n;
-wire soc_a7ddrphy_dfi_p3_act_n;
-wire [31:0] soc_a7ddrphy_dfi_p3_wrdata;
-wire soc_a7ddrphy_dfi_p3_wrdata_en;
-wire [3:0] soc_a7ddrphy_dfi_p3_wrdata_mask;
-wire soc_a7ddrphy_dfi_p3_rddata_en;
-reg [31:0] soc_a7ddrphy_dfi_p3_rddata = 32'd0;
-reg soc_a7ddrphy_dfi_p3_rddata_valid = 1'd0;
-wire soc_a7ddrphy_sd_clk_se_nodelay;
-reg soc_a7ddrphy_dqs_oe = 1'd0;
-reg soc_a7ddrphy_dqs_oe_delayed = 1'd0;
-wire soc_a7ddrphy_dqspattern0;
-wire soc_a7ddrphy_dqspattern1;
-reg [7:0] soc_a7ddrphy_dqspattern_o0 = 8'd0;
-reg [7:0] soc_a7ddrphy_dqspattern_o1 = 8'd0;
-wire [1:0] soc_a7ddrphy_dqs_i;
-wire [1:0] soc_a7ddrphy_dqs_i_delayed;
-wire soc_a7ddrphy_dqs_o_no_delay0;
-wire soc_a7ddrphy_dqs_t0;
-wire soc_a7ddrphy0;
-wire soc_a7ddrphy_dqs_o_no_delay1;
-wire soc_a7ddrphy_dqs_t1;
-wire soc_a7ddrphy1;
-wire soc_a7ddrphy_dq_oe;
-reg soc_a7ddrphy_dq_oe_delayed = 1'd0;
-wire soc_a7ddrphy_dq_o_nodelay0;
-wire soc_a7ddrphy_dq_i_nodelay0;
-wire soc_a7ddrphy_dq_i_delayed0;
-wire soc_a7ddrphy_dq_t0;
-wire [7:0] soc_a7ddrphy_dq_i_data0;
-wire [7:0] soc_a7ddrphy_bitslip0_i;
-reg [7:0] soc_a7ddrphy_bitslip0_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip0_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip0_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay1;
-wire soc_a7ddrphy_dq_i_nodelay1;
-wire soc_a7ddrphy_dq_i_delayed1;
-wire soc_a7ddrphy_dq_t1;
-wire [7:0] soc_a7ddrphy_dq_i_data1;
-wire [7:0] soc_a7ddrphy_bitslip1_i;
-reg [7:0] soc_a7ddrphy_bitslip1_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip1_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip1_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay2;
-wire soc_a7ddrphy_dq_i_nodelay2;
-wire soc_a7ddrphy_dq_i_delayed2;
-wire soc_a7ddrphy_dq_t2;
-wire [7:0] soc_a7ddrphy_dq_i_data2;
-wire [7:0] soc_a7ddrphy_bitslip2_i;
-reg [7:0] soc_a7ddrphy_bitslip2_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip2_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip2_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay3;
-wire soc_a7ddrphy_dq_i_nodelay3;
-wire soc_a7ddrphy_dq_i_delayed3;
-wire soc_a7ddrphy_dq_t3;
-wire [7:0] soc_a7ddrphy_dq_i_data3;
-wire [7:0] soc_a7ddrphy_bitslip3_i;
-reg [7:0] soc_a7ddrphy_bitslip3_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip3_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip3_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay4;
-wire soc_a7ddrphy_dq_i_nodelay4;
-wire soc_a7ddrphy_dq_i_delayed4;
-wire soc_a7ddrphy_dq_t4;
-wire [7:0] soc_a7ddrphy_dq_i_data4;
-wire [7:0] soc_a7ddrphy_bitslip4_i;
-reg [7:0] soc_a7ddrphy_bitslip4_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip4_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip4_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay5;
-wire soc_a7ddrphy_dq_i_nodelay5;
-wire soc_a7ddrphy_dq_i_delayed5;
-wire soc_a7ddrphy_dq_t5;
-wire [7:0] soc_a7ddrphy_dq_i_data5;
-wire [7:0] soc_a7ddrphy_bitslip5_i;
-reg [7:0] soc_a7ddrphy_bitslip5_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip5_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip5_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay6;
-wire soc_a7ddrphy_dq_i_nodelay6;
-wire soc_a7ddrphy_dq_i_delayed6;
-wire soc_a7ddrphy_dq_t6;
-wire [7:0] soc_a7ddrphy_dq_i_data6;
-wire [7:0] soc_a7ddrphy_bitslip6_i;
-reg [7:0] soc_a7ddrphy_bitslip6_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip6_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip6_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay7;
-wire soc_a7ddrphy_dq_i_nodelay7;
-wire soc_a7ddrphy_dq_i_delayed7;
-wire soc_a7ddrphy_dq_t7;
-wire [7:0] soc_a7ddrphy_dq_i_data7;
-wire [7:0] soc_a7ddrphy_bitslip7_i;
-reg [7:0] soc_a7ddrphy_bitslip7_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip7_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip7_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay8;
-wire soc_a7ddrphy_dq_i_nodelay8;
-wire soc_a7ddrphy_dq_i_delayed8;
-wire soc_a7ddrphy_dq_t8;
-wire [7:0] soc_a7ddrphy_dq_i_data8;
-wire [7:0] soc_a7ddrphy_bitslip8_i;
-reg [7:0] soc_a7ddrphy_bitslip8_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip8_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip8_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay9;
-wire soc_a7ddrphy_dq_i_nodelay9;
-wire soc_a7ddrphy_dq_i_delayed9;
-wire soc_a7ddrphy_dq_t9;
-wire [7:0] soc_a7ddrphy_dq_i_data9;
-wire [7:0] soc_a7ddrphy_bitslip9_i;
-reg [7:0] soc_a7ddrphy_bitslip9_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip9_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip9_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay10;
-wire soc_a7ddrphy_dq_i_nodelay10;
-wire soc_a7ddrphy_dq_i_delayed10;
-wire soc_a7ddrphy_dq_t10;
-wire [7:0] soc_a7ddrphy_dq_i_data10;
-wire [7:0] soc_a7ddrphy_bitslip10_i;
-reg [7:0] soc_a7ddrphy_bitslip10_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip10_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip10_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay11;
-wire soc_a7ddrphy_dq_i_nodelay11;
-wire soc_a7ddrphy_dq_i_delayed11;
-wire soc_a7ddrphy_dq_t11;
-wire [7:0] soc_a7ddrphy_dq_i_data11;
-wire [7:0] soc_a7ddrphy_bitslip11_i;
-reg [7:0] soc_a7ddrphy_bitslip11_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip11_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip11_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay12;
-wire soc_a7ddrphy_dq_i_nodelay12;
-wire soc_a7ddrphy_dq_i_delayed12;
-wire soc_a7ddrphy_dq_t12;
-wire [7:0] soc_a7ddrphy_dq_i_data12;
-wire [7:0] soc_a7ddrphy_bitslip12_i;
-reg [7:0] soc_a7ddrphy_bitslip12_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip12_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip12_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay13;
-wire soc_a7ddrphy_dq_i_nodelay13;
-wire soc_a7ddrphy_dq_i_delayed13;
-wire soc_a7ddrphy_dq_t13;
-wire [7:0] soc_a7ddrphy_dq_i_data13;
-wire [7:0] soc_a7ddrphy_bitslip13_i;
-reg [7:0] soc_a7ddrphy_bitslip13_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip13_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip13_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay14;
-wire soc_a7ddrphy_dq_i_nodelay14;
-wire soc_a7ddrphy_dq_i_delayed14;
-wire soc_a7ddrphy_dq_t14;
-wire [7:0] soc_a7ddrphy_dq_i_data14;
-wire [7:0] soc_a7ddrphy_bitslip14_i;
-reg [7:0] soc_a7ddrphy_bitslip14_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip14_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip14_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay15;
-wire soc_a7ddrphy_dq_i_nodelay15;
-wire soc_a7ddrphy_dq_i_delayed15;
-wire soc_a7ddrphy_dq_t15;
-wire [7:0] soc_a7ddrphy_dq_i_data15;
-wire [7:0] soc_a7ddrphy_bitslip15_i;
-reg [7:0] soc_a7ddrphy_bitslip15_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip15_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip15_r = 16'd0;
-wire [7:0] soc_a7ddrphy_rddata_en;
-reg [7:0] soc_a7ddrphy_rddata_en_last = 8'd0;
-wire [3:0] soc_a7ddrphy_wrdata_en;
-reg [3:0] soc_a7ddrphy_wrdata_en_last = 4'd0;
-wire [13:0] soc_sdram_inti_p0_address;
-wire [2:0] soc_sdram_inti_p0_bank;
-reg soc_sdram_inti_p0_cas_n = 1'd1;
-reg soc_sdram_inti_p0_cs_n = 1'd1;
-reg soc_sdram_inti_p0_ras_n = 1'd1;
-reg soc_sdram_inti_p0_we_n = 1'd1;
-wire soc_sdram_inti_p0_cke;
-wire soc_sdram_inti_p0_odt;
-wire soc_sdram_inti_p0_reset_n;
-reg soc_sdram_inti_p0_act_n = 1'd1;
-wire [31:0] soc_sdram_inti_p0_wrdata;
-wire soc_sdram_inti_p0_wrdata_en;
-wire [3:0] soc_sdram_inti_p0_wrdata_mask;
-wire soc_sdram_inti_p0_rddata_en;
-reg [31:0] soc_sdram_inti_p0_rddata = 32'd0;
-reg soc_sdram_inti_p0_rddata_valid = 1'd0;
-wire [13:0] soc_sdram_inti_p1_address;
-wire [2:0] soc_sdram_inti_p1_bank;
-reg soc_sdram_inti_p1_cas_n = 1'd1;
-reg soc_sdram_inti_p1_cs_n = 1'd1;
-reg soc_sdram_inti_p1_ras_n = 1'd1;
-reg soc_sdram_inti_p1_we_n = 1'd1;
-wire soc_sdram_inti_p1_cke;
-wire soc_sdram_inti_p1_odt;
-wire soc_sdram_inti_p1_reset_n;
-reg soc_sdram_inti_p1_act_n = 1'd1;
-wire [31:0] soc_sdram_inti_p1_wrdata;
-wire soc_sdram_inti_p1_wrdata_en;
-wire [3:0] soc_sdram_inti_p1_wrdata_mask;
-wire soc_sdram_inti_p1_rddata_en;
-reg [31:0] soc_sdram_inti_p1_rddata = 32'd0;
-reg soc_sdram_inti_p1_rddata_valid = 1'd0;
-wire [13:0] soc_sdram_inti_p2_address;
-wire [2:0] soc_sdram_inti_p2_bank;
-reg soc_sdram_inti_p2_cas_n = 1'd1;
-reg soc_sdram_inti_p2_cs_n = 1'd1;
-reg soc_sdram_inti_p2_ras_n = 1'd1;
-reg soc_sdram_inti_p2_we_n = 1'd1;
-wire soc_sdram_inti_p2_cke;
-wire soc_sdram_inti_p2_odt;
-wire soc_sdram_inti_p2_reset_n;
-reg soc_sdram_inti_p2_act_n = 1'd1;
-wire [31:0] soc_sdram_inti_p2_wrdata;
-wire soc_sdram_inti_p2_wrdata_en;
-wire [3:0] soc_sdram_inti_p2_wrdata_mask;
-wire soc_sdram_inti_p2_rddata_en;
-reg [31:0] soc_sdram_inti_p2_rddata = 32'd0;
-reg soc_sdram_inti_p2_rddata_valid = 1'd0;
-wire [13:0] soc_sdram_inti_p3_address;
-wire [2:0] soc_sdram_inti_p3_bank;
-reg soc_sdram_inti_p3_cas_n = 1'd1;
-reg soc_sdram_inti_p3_cs_n = 1'd1;
-reg soc_sdram_inti_p3_ras_n = 1'd1;
-reg soc_sdram_inti_p3_we_n = 1'd1;
-wire soc_sdram_inti_p3_cke;
-wire soc_sdram_inti_p3_odt;
-wire soc_sdram_inti_p3_reset_n;
-reg soc_sdram_inti_p3_act_n = 1'd1;
-wire [31:0] soc_sdram_inti_p3_wrdata;
-wire soc_sdram_inti_p3_wrdata_en;
-wire [3:0] soc_sdram_inti_p3_wrdata_mask;
-wire soc_sdram_inti_p3_rddata_en;
-reg [31:0] soc_sdram_inti_p3_rddata = 32'd0;
-reg soc_sdram_inti_p3_rddata_valid = 1'd0;
-wire [13:0] soc_sdram_slave_p0_address;
-wire [2:0] soc_sdram_slave_p0_bank;
-wire soc_sdram_slave_p0_cas_n;
-wire soc_sdram_slave_p0_cs_n;
-wire soc_sdram_slave_p0_ras_n;
-wire soc_sdram_slave_p0_we_n;
-wire soc_sdram_slave_p0_cke;
-wire soc_sdram_slave_p0_odt;
-wire soc_sdram_slave_p0_reset_n;
-wire soc_sdram_slave_p0_act_n;
-wire [31:0] soc_sdram_slave_p0_wrdata;
-wire soc_sdram_slave_p0_wrdata_en;
-wire [3:0] soc_sdram_slave_p0_wrdata_mask;
-wire soc_sdram_slave_p0_rddata_en;
-reg [31:0] soc_sdram_slave_p0_rddata = 32'd0;
-reg soc_sdram_slave_p0_rddata_valid = 1'd0;
-wire [13:0] soc_sdram_slave_p1_address;
-wire [2:0] soc_sdram_slave_p1_bank;
-wire soc_sdram_slave_p1_cas_n;
-wire soc_sdram_slave_p1_cs_n;
-wire soc_sdram_slave_p1_ras_n;
-wire soc_sdram_slave_p1_we_n;
-wire soc_sdram_slave_p1_cke;
-wire soc_sdram_slave_p1_odt;
-wire soc_sdram_slave_p1_reset_n;
-wire soc_sdram_slave_p1_act_n;
-wire [31:0] soc_sdram_slave_p1_wrdata;
-wire soc_sdram_slave_p1_wrdata_en;
-wire [3:0] soc_sdram_slave_p1_wrdata_mask;
-wire soc_sdram_slave_p1_rddata_en;
-reg [31:0] soc_sdram_slave_p1_rddata = 32'd0;
-reg soc_sdram_slave_p1_rddata_valid = 1'd0;
-wire [13:0] soc_sdram_slave_p2_address;
-wire [2:0] soc_sdram_slave_p2_bank;
-wire soc_sdram_slave_p2_cas_n;
-wire soc_sdram_slave_p2_cs_n;
-wire soc_sdram_slave_p2_ras_n;
-wire soc_sdram_slave_p2_we_n;
-wire soc_sdram_slave_p2_cke;
-wire soc_sdram_slave_p2_odt;
-wire soc_sdram_slave_p2_reset_n;
-wire soc_sdram_slave_p2_act_n;
-wire [31:0] soc_sdram_slave_p2_wrdata;
-wire soc_sdram_slave_p2_wrdata_en;
-wire [3:0] soc_sdram_slave_p2_wrdata_mask;
-wire soc_sdram_slave_p2_rddata_en;
-reg [31:0] soc_sdram_slave_p2_rddata = 32'd0;
-reg soc_sdram_slave_p2_rddata_valid = 1'd0;
-wire [13:0] soc_sdram_slave_p3_address;
-wire [2:0] soc_sdram_slave_p3_bank;
-wire soc_sdram_slave_p3_cas_n;
-wire soc_sdram_slave_p3_cs_n;
-wire soc_sdram_slave_p3_ras_n;
-wire soc_sdram_slave_p3_we_n;
-wire soc_sdram_slave_p3_cke;
-wire soc_sdram_slave_p3_odt;
-wire soc_sdram_slave_p3_reset_n;
-wire soc_sdram_slave_p3_act_n;
-wire [31:0] soc_sdram_slave_p3_wrdata;
-wire soc_sdram_slave_p3_wrdata_en;
-wire [3:0] soc_sdram_slave_p3_wrdata_mask;
-wire soc_sdram_slave_p3_rddata_en;
-reg [31:0] soc_sdram_slave_p3_rddata = 32'd0;
-reg soc_sdram_slave_p3_rddata_valid = 1'd0;
-reg [13:0] soc_sdram_master_p0_address = 14'd0;
-reg [2:0] soc_sdram_master_p0_bank = 3'd0;
-reg soc_sdram_master_p0_cas_n = 1'd1;
-reg soc_sdram_master_p0_cs_n = 1'd1;
-reg soc_sdram_master_p0_ras_n = 1'd1;
-reg soc_sdram_master_p0_we_n = 1'd1;
-reg soc_sdram_master_p0_cke = 1'd0;
-reg soc_sdram_master_p0_odt = 1'd0;
-reg soc_sdram_master_p0_reset_n = 1'd0;
-reg soc_sdram_master_p0_act_n = 1'd1;
-reg [31:0] soc_sdram_master_p0_wrdata = 32'd0;
-reg soc_sdram_master_p0_wrdata_en = 1'd0;
-reg [3:0] soc_sdram_master_p0_wrdata_mask = 4'd0;
-reg soc_sdram_master_p0_rddata_en = 1'd0;
-wire [31:0] soc_sdram_master_p0_rddata;
-wire soc_sdram_master_p0_rddata_valid;
-reg [13:0] soc_sdram_master_p1_address = 14'd0;
-reg [2:0] soc_sdram_master_p1_bank = 3'd0;
-reg soc_sdram_master_p1_cas_n = 1'd1;
-reg soc_sdram_master_p1_cs_n = 1'd1;
-reg soc_sdram_master_p1_ras_n = 1'd1;
-reg soc_sdram_master_p1_we_n = 1'd1;
-reg soc_sdram_master_p1_cke = 1'd0;
-reg soc_sdram_master_p1_odt = 1'd0;
-reg soc_sdram_master_p1_reset_n = 1'd0;
-reg soc_sdram_master_p1_act_n = 1'd1;
-reg [31:0] soc_sdram_master_p1_wrdata = 32'd0;
-reg soc_sdram_master_p1_wrdata_en = 1'd0;
-reg [3:0] soc_sdram_master_p1_wrdata_mask = 4'd0;
-reg soc_sdram_master_p1_rddata_en = 1'd0;
-wire [31:0] soc_sdram_master_p1_rddata;
-wire soc_sdram_master_p1_rddata_valid;
-reg [13:0] soc_sdram_master_p2_address = 14'd0;
-reg [2:0] soc_sdram_master_p2_bank = 3'd0;
-reg soc_sdram_master_p2_cas_n = 1'd1;
-reg soc_sdram_master_p2_cs_n = 1'd1;
-reg soc_sdram_master_p2_ras_n = 1'd1;
-reg soc_sdram_master_p2_we_n = 1'd1;
-reg soc_sdram_master_p2_cke = 1'd0;
-reg soc_sdram_master_p2_odt = 1'd0;
-reg soc_sdram_master_p2_reset_n = 1'd0;
-reg soc_sdram_master_p2_act_n = 1'd1;
-reg [31:0] soc_sdram_master_p2_wrdata = 32'd0;
-reg soc_sdram_master_p2_wrdata_en = 1'd0;
-reg [3:0] soc_sdram_master_p2_wrdata_mask = 4'd0;
-reg soc_sdram_master_p2_rddata_en = 1'd0;
-wire [31:0] soc_sdram_master_p2_rddata;
-wire soc_sdram_master_p2_rddata_valid;
-reg [13:0] soc_sdram_master_p3_address = 14'd0;
-reg [2:0] soc_sdram_master_p3_bank = 3'd0;
-reg soc_sdram_master_p3_cas_n = 1'd1;
-reg soc_sdram_master_p3_cs_n = 1'd1;
-reg soc_sdram_master_p3_ras_n = 1'd1;
-reg soc_sdram_master_p3_we_n = 1'd1;
-reg soc_sdram_master_p3_cke = 1'd0;
-reg soc_sdram_master_p3_odt = 1'd0;
-reg soc_sdram_master_p3_reset_n = 1'd0;
-reg soc_sdram_master_p3_act_n = 1'd1;
-reg [31:0] soc_sdram_master_p3_wrdata = 32'd0;
-reg soc_sdram_master_p3_wrdata_en = 1'd0;
-reg [3:0] soc_sdram_master_p3_wrdata_mask = 4'd0;
-reg soc_sdram_master_p3_rddata_en = 1'd0;
-wire [31:0] soc_sdram_master_p3_rddata;
-wire soc_sdram_master_p3_rddata_valid;
-reg [3:0] soc_sdram_storage = 4'd0;
-reg soc_sdram_re = 1'd0;
-reg [5:0] soc_sdram_phaseinjector0_command_storage = 6'd0;
-reg soc_sdram_phaseinjector0_command_re = 1'd0;
-wire soc_sdram_phaseinjector0_command_issue_re;
-wire soc_sdram_phaseinjector0_command_issue_r;
-wire soc_sdram_phaseinjector0_command_issue_we;
-reg soc_sdram_phaseinjector0_command_issue_w = 1'd0;
-reg [13:0] soc_sdram_phaseinjector0_address_storage = 14'd0;
-reg soc_sdram_phaseinjector0_address_re = 1'd0;
-reg [2:0] soc_sdram_phaseinjector0_baddress_storage = 3'd0;
-reg soc_sdram_phaseinjector0_baddress_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector0_wrdata_storage = 32'd0;
-reg soc_sdram_phaseinjector0_wrdata_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector0_status = 32'd0;
-wire soc_sdram_phaseinjector0_we;
-reg [5:0] soc_sdram_phaseinjector1_command_storage = 6'd0;
-reg soc_sdram_phaseinjector1_command_re = 1'd0;
-wire soc_sdram_phaseinjector1_command_issue_re;
-wire soc_sdram_phaseinjector1_command_issue_r;
-wire soc_sdram_phaseinjector1_command_issue_we;
-reg soc_sdram_phaseinjector1_command_issue_w = 1'd0;
-reg [13:0] soc_sdram_phaseinjector1_address_storage = 14'd0;
-reg soc_sdram_phaseinjector1_address_re = 1'd0;
-reg [2:0] soc_sdram_phaseinjector1_baddress_storage = 3'd0;
-reg soc_sdram_phaseinjector1_baddress_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector1_wrdata_storage = 32'd0;
-reg soc_sdram_phaseinjector1_wrdata_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector1_status = 32'd0;
-wire soc_sdram_phaseinjector1_we;
-reg [5:0] soc_sdram_phaseinjector2_command_storage = 6'd0;
-reg soc_sdram_phaseinjector2_command_re = 1'd0;
-wire soc_sdram_phaseinjector2_command_issue_re;
-wire soc_sdram_phaseinjector2_command_issue_r;
-wire soc_sdram_phaseinjector2_command_issue_we;
-reg soc_sdram_phaseinjector2_command_issue_w = 1'd0;
-reg [13:0] soc_sdram_phaseinjector2_address_storage = 14'd0;
-reg soc_sdram_phaseinjector2_address_re = 1'd0;
-reg [2:0] soc_sdram_phaseinjector2_baddress_storage = 3'd0;
-reg soc_sdram_phaseinjector2_baddress_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector2_wrdata_storage = 32'd0;
-reg soc_sdram_phaseinjector2_wrdata_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector2_status = 32'd0;
-wire soc_sdram_phaseinjector2_we;
-reg [5:0] soc_sdram_phaseinjector3_command_storage = 6'd0;
-reg soc_sdram_phaseinjector3_command_re = 1'd0;
-wire soc_sdram_phaseinjector3_command_issue_re;
-wire soc_sdram_phaseinjector3_command_issue_r;
-wire soc_sdram_phaseinjector3_command_issue_we;
-reg soc_sdram_phaseinjector3_command_issue_w = 1'd0;
-reg [13:0] soc_sdram_phaseinjector3_address_storage = 14'd0;
-reg soc_sdram_phaseinjector3_address_re = 1'd0;
-reg [2:0] soc_sdram_phaseinjector3_baddress_storage = 3'd0;
-reg soc_sdram_phaseinjector3_baddress_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector3_wrdata_storage = 32'd0;
-reg soc_sdram_phaseinjector3_wrdata_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector3_status = 32'd0;
-wire soc_sdram_phaseinjector3_we;
-wire soc_sdram_interface_bank0_valid;
-wire soc_sdram_interface_bank0_ready;
-wire soc_sdram_interface_bank0_we;
-wire [20:0] soc_sdram_interface_bank0_addr;
-wire soc_sdram_interface_bank0_lock;
-wire soc_sdram_interface_bank0_wdata_ready;
-wire soc_sdram_interface_bank0_rdata_valid;
-wire soc_sdram_interface_bank1_valid;
-wire soc_sdram_interface_bank1_ready;
-wire soc_sdram_interface_bank1_we;
-wire [20:0] soc_sdram_interface_bank1_addr;
-wire soc_sdram_interface_bank1_lock;
-wire soc_sdram_interface_bank1_wdata_ready;
-wire soc_sdram_interface_bank1_rdata_valid;
-wire soc_sdram_interface_bank2_valid;
-wire soc_sdram_interface_bank2_ready;
-wire soc_sdram_interface_bank2_we;
-wire [20:0] soc_sdram_interface_bank2_addr;
-wire soc_sdram_interface_bank2_lock;
-wire soc_sdram_interface_bank2_wdata_ready;
-wire soc_sdram_interface_bank2_rdata_valid;
-wire soc_sdram_interface_bank3_valid;
-wire soc_sdram_interface_bank3_ready;
-wire soc_sdram_interface_bank3_we;
-wire [20:0] soc_sdram_interface_bank3_addr;
-wire soc_sdram_interface_bank3_lock;
-wire soc_sdram_interface_bank3_wdata_ready;
-wire soc_sdram_interface_bank3_rdata_valid;
-wire soc_sdram_interface_bank4_valid;
-wire soc_sdram_interface_bank4_ready;
-wire soc_sdram_interface_bank4_we;
-wire [20:0] soc_sdram_interface_bank4_addr;
-wire soc_sdram_interface_bank4_lock;
-wire soc_sdram_interface_bank4_wdata_ready;
-wire soc_sdram_interface_bank4_rdata_valid;
-wire soc_sdram_interface_bank5_valid;
-wire soc_sdram_interface_bank5_ready;
-wire soc_sdram_interface_bank5_we;
-wire [20:0] soc_sdram_interface_bank5_addr;
-wire soc_sdram_interface_bank5_lock;
-wire soc_sdram_interface_bank5_wdata_ready;
-wire soc_sdram_interface_bank5_rdata_valid;
-wire soc_sdram_interface_bank6_valid;
-wire soc_sdram_interface_bank6_ready;
-wire soc_sdram_interface_bank6_we;
-wire [20:0] soc_sdram_interface_bank6_addr;
-wire soc_sdram_interface_bank6_lock;
-wire soc_sdram_interface_bank6_wdata_ready;
-wire soc_sdram_interface_bank6_rdata_valid;
-wire soc_sdram_interface_bank7_valid;
-wire soc_sdram_interface_bank7_ready;
-wire soc_sdram_interface_bank7_we;
-wire [20:0] soc_sdram_interface_bank7_addr;
-wire soc_sdram_interface_bank7_lock;
-wire soc_sdram_interface_bank7_wdata_ready;
-wire soc_sdram_interface_bank7_rdata_valid;
-reg [127:0] soc_sdram_interface_wdata = 128'd0;
-reg [15:0] soc_sdram_interface_wdata_we = 16'd0;
-wire [127:0] soc_sdram_interface_rdata;
-reg [13:0] soc_sdram_dfi_p0_address = 14'd0;
-reg [2:0] soc_sdram_dfi_p0_bank = 3'd0;
-reg soc_sdram_dfi_p0_cas_n = 1'd1;
-reg soc_sdram_dfi_p0_cs_n = 1'd1;
-reg soc_sdram_dfi_p0_ras_n = 1'd1;
-reg soc_sdram_dfi_p0_we_n = 1'd1;
-wire soc_sdram_dfi_p0_cke;
-wire soc_sdram_dfi_p0_odt;
-wire soc_sdram_dfi_p0_reset_n;
-reg soc_sdram_dfi_p0_act_n = 1'd1;
-wire [31:0] soc_sdram_dfi_p0_wrdata;
-reg soc_sdram_dfi_p0_wrdata_en = 1'd0;
-wire [3:0] soc_sdram_dfi_p0_wrdata_mask;
-reg soc_sdram_dfi_p0_rddata_en = 1'd0;
-wire [31:0] soc_sdram_dfi_p0_rddata;
-wire soc_sdram_dfi_p0_rddata_valid;
-reg [13:0] soc_sdram_dfi_p1_address = 14'd0;
-reg [2:0] soc_sdram_dfi_p1_bank = 3'd0;
-reg soc_sdram_dfi_p1_cas_n = 1'd1;
-reg soc_sdram_dfi_p1_cs_n = 1'd1;
-reg soc_sdram_dfi_p1_ras_n = 1'd1;
-reg soc_sdram_dfi_p1_we_n = 1'd1;
-wire soc_sdram_dfi_p1_cke;
-wire soc_sdram_dfi_p1_odt;
-wire soc_sdram_dfi_p1_reset_n;
-reg soc_sdram_dfi_p1_act_n = 1'd1;
-wire [31:0] soc_sdram_dfi_p1_wrdata;
-reg soc_sdram_dfi_p1_wrdata_en = 1'd0;
-wire [3:0] soc_sdram_dfi_p1_wrdata_mask;
-reg soc_sdram_dfi_p1_rddata_en = 1'd0;
-wire [31:0] soc_sdram_dfi_p1_rddata;
-wire soc_sdram_dfi_p1_rddata_valid;
-reg [13:0] soc_sdram_dfi_p2_address = 14'd0;
-reg [2:0] soc_sdram_dfi_p2_bank = 3'd0;
-reg soc_sdram_dfi_p2_cas_n = 1'd1;
-reg soc_sdram_dfi_p2_cs_n = 1'd1;
-reg soc_sdram_dfi_p2_ras_n = 1'd1;
-reg soc_sdram_dfi_p2_we_n = 1'd1;
-wire soc_sdram_dfi_p2_cke;
-wire soc_sdram_dfi_p2_odt;
-wire soc_sdram_dfi_p2_reset_n;
-reg soc_sdram_dfi_p2_act_n = 1'd1;
-wire [31:0] soc_sdram_dfi_p2_wrdata;
-reg soc_sdram_dfi_p2_wrdata_en = 1'd0;
-wire [3:0] soc_sdram_dfi_p2_wrdata_mask;
-reg soc_sdram_dfi_p2_rddata_en = 1'd0;
-wire [31:0] soc_sdram_dfi_p2_rddata;
-wire soc_sdram_dfi_p2_rddata_valid;
-reg [13:0] soc_sdram_dfi_p3_address = 14'd0;
-reg [2:0] soc_sdram_dfi_p3_bank = 3'd0;
-reg soc_sdram_dfi_p3_cas_n = 1'd1;
-reg soc_sdram_dfi_p3_cs_n = 1'd1;
-reg soc_sdram_dfi_p3_ras_n = 1'd1;
-reg soc_sdram_dfi_p3_we_n = 1'd1;
-wire soc_sdram_dfi_p3_cke;
-wire soc_sdram_dfi_p3_odt;
-wire soc_sdram_dfi_p3_reset_n;
-reg soc_sdram_dfi_p3_act_n = 1'd1;
-wire [31:0] soc_sdram_dfi_p3_wrdata;
-reg soc_sdram_dfi_p3_wrdata_en = 1'd0;
-wire [3:0] soc_sdram_dfi_p3_wrdata_mask;
-reg soc_sdram_dfi_p3_rddata_en = 1'd0;
-wire [31:0] soc_sdram_dfi_p3_rddata;
-wire soc_sdram_dfi_p3_rddata_valid;
-reg soc_sdram_cmd_valid = 1'd0;
-reg soc_sdram_cmd_ready = 1'd0;
-reg soc_sdram_cmd_last = 1'd0;
-reg [13:0] soc_sdram_cmd_payload_a = 14'd0;
-reg [2:0] soc_sdram_cmd_payload_ba = 3'd0;
-reg soc_sdram_cmd_payload_cas = 1'd0;
-reg soc_sdram_cmd_payload_ras = 1'd0;
-reg soc_sdram_cmd_payload_we = 1'd0;
-reg soc_sdram_cmd_payload_is_read = 1'd0;
-reg soc_sdram_cmd_payload_is_write = 1'd0;
-wire soc_sdram_wants_refresh;
-wire soc_sdram_wants_zqcs;
-wire soc_sdram_timer_wait;
-wire soc_sdram_timer_done0;
-wire [9:0] soc_sdram_timer_count0;
-wire soc_sdram_timer_done1;
-reg [9:0] soc_sdram_timer_count1 = 10'd781;
-wire soc_sdram_postponer_req_i;
-reg soc_sdram_postponer_req_o = 1'd0;
-reg soc_sdram_postponer_count = 1'd0;
-reg soc_sdram_sequencer_start0 = 1'd0;
-wire soc_sdram_sequencer_done0;
-wire soc_sdram_sequencer_start1;
-reg soc_sdram_sequencer_done1 = 1'd0;
-reg [5:0] soc_sdram_sequencer_counter = 6'd0;
-reg soc_sdram_sequencer_count = 1'd0;
-wire soc_sdram_zqcs_timer_wait;
-wire soc_sdram_zqcs_timer_done0;
-wire [26:0] soc_sdram_zqcs_timer_count0;
-wire soc_sdram_zqcs_timer_done1;
-reg [26:0] soc_sdram_zqcs_timer_count1 = 27'd99999999;
-reg soc_sdram_zqcs_executer_start = 1'd0;
-reg soc_sdram_zqcs_executer_done = 1'd0;
-reg [4:0] soc_sdram_zqcs_executer_counter = 5'd0;
-wire soc_sdram_bankmachine0_req_valid;
-wire soc_sdram_bankmachine0_req_ready;
-wire soc_sdram_bankmachine0_req_we;
-wire [20:0] soc_sdram_bankmachine0_req_addr;
-wire soc_sdram_bankmachine0_req_lock;
-reg soc_sdram_bankmachine0_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine0_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine0_refresh_req;
-reg soc_sdram_bankmachine0_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine0_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine0_cmd_ready = 1'd0;
-reg [13:0] soc_sdram_bankmachine0_cmd_payload_a = 14'd0;
-wire [2:0] soc_sdram_bankmachine0_cmd_payload_ba;
-reg soc_sdram_bankmachine0_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine0_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine0_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine0_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine0_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine0_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine0_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we;
-wire [20:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
-wire [23:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
-wire [23:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
-reg [4:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine0_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [23:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_we;
-wire [23:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr;
-wire [23:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [20:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [20:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine0_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine0_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine0_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine0_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine0_cmd_buffer_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine0_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine0_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine0_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine0_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine0_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine0_cmd_buffer_source_payload_we = 1'd0;
-reg [20:0] soc_sdram_bankmachine0_cmd_buffer_source_payload_addr = 21'd0;
-reg [13:0] soc_sdram_bankmachine0_row = 14'd0;
-reg soc_sdram_bankmachine0_row_opened = 1'd0;
-wire soc_sdram_bankmachine0_row_hit;
-reg soc_sdram_bankmachine0_row_open = 1'd0;
-reg soc_sdram_bankmachine0_row_close = 1'd0;
-reg soc_sdram_bankmachine0_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine0_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine0_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine0_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine0_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine0_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine0_trccon_count = 3'd0;
-wire soc_sdram_bankmachine0_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine0_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine0_trascon_count = 3'd0;
-wire soc_sdram_bankmachine1_req_valid;
-wire soc_sdram_bankmachine1_req_ready;
-wire soc_sdram_bankmachine1_req_we;
-wire [20:0] soc_sdram_bankmachine1_req_addr;
-wire soc_sdram_bankmachine1_req_lock;
-reg soc_sdram_bankmachine1_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine1_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine1_refresh_req;
-reg soc_sdram_bankmachine1_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine1_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine1_cmd_ready = 1'd0;
-reg [13:0] soc_sdram_bankmachine1_cmd_payload_a = 14'd0;
-wire [2:0] soc_sdram_bankmachine1_cmd_payload_ba;
-reg soc_sdram_bankmachine1_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine1_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine1_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine1_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine1_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine1_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine1_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we;
-wire [20:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
-wire [23:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
-wire [23:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
-reg [4:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine1_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [23:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_we;
-wire [23:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr;
-wire [23:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [20:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [20:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine1_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine1_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine1_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine1_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine1_cmd_buffer_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine1_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine1_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine1_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine1_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine1_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine1_cmd_buffer_source_payload_we = 1'd0;
-reg [20:0] soc_sdram_bankmachine1_cmd_buffer_source_payload_addr = 21'd0;
-reg [13:0] soc_sdram_bankmachine1_row = 14'd0;
-reg soc_sdram_bankmachine1_row_opened = 1'd0;
-wire soc_sdram_bankmachine1_row_hit;
-reg soc_sdram_bankmachine1_row_open = 1'd0;
-reg soc_sdram_bankmachine1_row_close = 1'd0;
-reg soc_sdram_bankmachine1_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine1_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine1_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine1_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine1_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine1_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine1_trccon_count = 3'd0;
-wire soc_sdram_bankmachine1_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine1_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine1_trascon_count = 3'd0;
-wire soc_sdram_bankmachine2_req_valid;
-wire soc_sdram_bankmachine2_req_ready;
-wire soc_sdram_bankmachine2_req_we;
-wire [20:0] soc_sdram_bankmachine2_req_addr;
-wire soc_sdram_bankmachine2_req_lock;
-reg soc_sdram_bankmachine2_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine2_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine2_refresh_req;
-reg soc_sdram_bankmachine2_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine2_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine2_cmd_ready = 1'd0;
-reg [13:0] soc_sdram_bankmachine2_cmd_payload_a = 14'd0;
-wire [2:0] soc_sdram_bankmachine2_cmd_payload_ba;
-reg soc_sdram_bankmachine2_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine2_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine2_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine2_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine2_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine2_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine2_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we;
-wire [20:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
-wire [23:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
-wire [23:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
-reg [4:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine2_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [23:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_we;
-wire [23:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr;
-wire [23:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [20:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [20:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine2_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine2_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine2_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine2_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine2_cmd_buffer_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine2_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine2_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine2_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine2_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine2_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine2_cmd_buffer_source_payload_we = 1'd0;
-reg [20:0] soc_sdram_bankmachine2_cmd_buffer_source_payload_addr = 21'd0;
-reg [13:0] soc_sdram_bankmachine2_row = 14'd0;
-reg soc_sdram_bankmachine2_row_opened = 1'd0;
-wire soc_sdram_bankmachine2_row_hit;
-reg soc_sdram_bankmachine2_row_open = 1'd0;
-reg soc_sdram_bankmachine2_row_close = 1'd0;
-reg soc_sdram_bankmachine2_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine2_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine2_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine2_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine2_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine2_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine2_trccon_count = 3'd0;
-wire soc_sdram_bankmachine2_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine2_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine2_trascon_count = 3'd0;
-wire soc_sdram_bankmachine3_req_valid;
-wire soc_sdram_bankmachine3_req_ready;
-wire soc_sdram_bankmachine3_req_we;
-wire [20:0] soc_sdram_bankmachine3_req_addr;
-wire soc_sdram_bankmachine3_req_lock;
-reg soc_sdram_bankmachine3_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine3_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine3_refresh_req;
-reg soc_sdram_bankmachine3_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine3_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine3_cmd_ready = 1'd0;
-reg [13:0] soc_sdram_bankmachine3_cmd_payload_a = 14'd0;
-wire [2:0] soc_sdram_bankmachine3_cmd_payload_ba;
-reg soc_sdram_bankmachine3_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine3_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine3_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine3_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine3_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine3_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine3_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we;
-wire [20:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
-wire [23:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
-wire [23:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
-reg [4:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine3_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [23:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_we;
-wire [23:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr;
-wire [23:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [20:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [20:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine3_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine3_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine3_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine3_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine3_cmd_buffer_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine3_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine3_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine3_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine3_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine3_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine3_cmd_buffer_source_payload_we = 1'd0;
-reg [20:0] soc_sdram_bankmachine3_cmd_buffer_source_payload_addr = 21'd0;
-reg [13:0] soc_sdram_bankmachine3_row = 14'd0;
-reg soc_sdram_bankmachine3_row_opened = 1'd0;
-wire soc_sdram_bankmachine3_row_hit;
-reg soc_sdram_bankmachine3_row_open = 1'd0;
-reg soc_sdram_bankmachine3_row_close = 1'd0;
-reg soc_sdram_bankmachine3_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine3_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine3_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine3_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine3_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine3_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine3_trccon_count = 3'd0;
-wire soc_sdram_bankmachine3_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine3_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine3_trascon_count = 3'd0;
-wire soc_sdram_bankmachine4_req_valid;
-wire soc_sdram_bankmachine4_req_ready;
-wire soc_sdram_bankmachine4_req_we;
-wire [20:0] soc_sdram_bankmachine4_req_addr;
-wire soc_sdram_bankmachine4_req_lock;
-reg soc_sdram_bankmachine4_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine4_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine4_refresh_req;
-reg soc_sdram_bankmachine4_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine4_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine4_cmd_ready = 1'd0;
-reg [13:0] soc_sdram_bankmachine4_cmd_payload_a = 14'd0;
-wire [2:0] soc_sdram_bankmachine4_cmd_payload_ba;
-reg soc_sdram_bankmachine4_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine4_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine4_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine4_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine4_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine4_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine4_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we;
-wire [20:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
-wire [23:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
-wire [23:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
-reg [4:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine4_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [23:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_we;
-wire [23:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr;
-wire [23:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [20:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [20:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine4_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine4_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine4_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine4_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine4_cmd_buffer_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine4_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine4_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine4_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine4_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine4_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine4_cmd_buffer_source_payload_we = 1'd0;
-reg [20:0] soc_sdram_bankmachine4_cmd_buffer_source_payload_addr = 21'd0;
-reg [13:0] soc_sdram_bankmachine4_row = 14'd0;
-reg soc_sdram_bankmachine4_row_opened = 1'd0;
-wire soc_sdram_bankmachine4_row_hit;
-reg soc_sdram_bankmachine4_row_open = 1'd0;
-reg soc_sdram_bankmachine4_row_close = 1'd0;
-reg soc_sdram_bankmachine4_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine4_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine4_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine4_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine4_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine4_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine4_trccon_count = 3'd0;
-wire soc_sdram_bankmachine4_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine4_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine4_trascon_count = 3'd0;
-wire soc_sdram_bankmachine5_req_valid;
-wire soc_sdram_bankmachine5_req_ready;
-wire soc_sdram_bankmachine5_req_we;
-wire [20:0] soc_sdram_bankmachine5_req_addr;
-wire soc_sdram_bankmachine5_req_lock;
-reg soc_sdram_bankmachine5_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine5_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine5_refresh_req;
-reg soc_sdram_bankmachine5_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine5_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine5_cmd_ready = 1'd0;
-reg [13:0] soc_sdram_bankmachine5_cmd_payload_a = 14'd0;
-wire [2:0] soc_sdram_bankmachine5_cmd_payload_ba;
-reg soc_sdram_bankmachine5_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine5_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine5_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine5_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine5_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine5_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine5_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we;
-wire [20:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
-wire [23:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
-wire [23:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
-reg [4:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine5_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [23:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_we;
-wire [23:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr;
-wire [23:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [20:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [20:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine5_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine5_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine5_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine5_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine5_cmd_buffer_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine5_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine5_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine5_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine5_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine5_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine5_cmd_buffer_source_payload_we = 1'd0;
-reg [20:0] soc_sdram_bankmachine5_cmd_buffer_source_payload_addr = 21'd0;
-reg [13:0] soc_sdram_bankmachine5_row = 14'd0;
-reg soc_sdram_bankmachine5_row_opened = 1'd0;
-wire soc_sdram_bankmachine5_row_hit;
-reg soc_sdram_bankmachine5_row_open = 1'd0;
-reg soc_sdram_bankmachine5_row_close = 1'd0;
-reg soc_sdram_bankmachine5_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine5_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine5_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine5_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine5_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine5_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine5_trccon_count = 3'd0;
-wire soc_sdram_bankmachine5_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine5_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine5_trascon_count = 3'd0;
-wire soc_sdram_bankmachine6_req_valid;
-wire soc_sdram_bankmachine6_req_ready;
-wire soc_sdram_bankmachine6_req_we;
-wire [20:0] soc_sdram_bankmachine6_req_addr;
-wire soc_sdram_bankmachine6_req_lock;
-reg soc_sdram_bankmachine6_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine6_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine6_refresh_req;
-reg soc_sdram_bankmachine6_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine6_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine6_cmd_ready = 1'd0;
-reg [13:0] soc_sdram_bankmachine6_cmd_payload_a = 14'd0;
-wire [2:0] soc_sdram_bankmachine6_cmd_payload_ba;
-reg soc_sdram_bankmachine6_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine6_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine6_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine6_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine6_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine6_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine6_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we;
-wire [20:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
-wire [23:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
-wire [23:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
-reg [4:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine6_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [23:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_we;
-wire [23:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr;
-wire [23:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [20:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [20:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine6_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine6_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine6_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine6_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine6_cmd_buffer_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine6_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine6_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine6_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine6_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine6_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine6_cmd_buffer_source_payload_we = 1'd0;
-reg [20:0] soc_sdram_bankmachine6_cmd_buffer_source_payload_addr = 21'd0;
-reg [13:0] soc_sdram_bankmachine6_row = 14'd0;
-reg soc_sdram_bankmachine6_row_opened = 1'd0;
-wire soc_sdram_bankmachine6_row_hit;
-reg soc_sdram_bankmachine6_row_open = 1'd0;
-reg soc_sdram_bankmachine6_row_close = 1'd0;
-reg soc_sdram_bankmachine6_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine6_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine6_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine6_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine6_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine6_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine6_trccon_count = 3'd0;
-wire soc_sdram_bankmachine6_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine6_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine6_trascon_count = 3'd0;
-wire soc_sdram_bankmachine7_req_valid;
-wire soc_sdram_bankmachine7_req_ready;
-wire soc_sdram_bankmachine7_req_we;
-wire [20:0] soc_sdram_bankmachine7_req_addr;
-wire soc_sdram_bankmachine7_req_lock;
-reg soc_sdram_bankmachine7_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine7_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine7_refresh_req;
-reg soc_sdram_bankmachine7_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine7_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine7_cmd_ready = 1'd0;
-reg [13:0] soc_sdram_bankmachine7_cmd_payload_a = 14'd0;
-wire [2:0] soc_sdram_bankmachine7_cmd_payload_ba;
-reg soc_sdram_bankmachine7_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine7_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine7_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine7_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine7_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine7_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine7_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we;
-wire [20:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
-wire [23:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
-wire [23:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
-reg [4:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine7_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [23:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_we;
-wire [23:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr;
-wire [23:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [20:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [20:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine7_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine7_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine7_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine7_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine7_cmd_buffer_sink_payload_we;
-wire [20:0] soc_sdram_bankmachine7_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine7_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine7_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine7_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine7_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine7_cmd_buffer_source_payload_we = 1'd0;
-reg [20:0] soc_sdram_bankmachine7_cmd_buffer_source_payload_addr = 21'd0;
-reg [13:0] soc_sdram_bankmachine7_row = 14'd0;
-reg soc_sdram_bankmachine7_row_opened = 1'd0;
-wire soc_sdram_bankmachine7_row_hit;
-reg soc_sdram_bankmachine7_row_open = 1'd0;
-reg soc_sdram_bankmachine7_row_close = 1'd0;
-reg soc_sdram_bankmachine7_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine7_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine7_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine7_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine7_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine7_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine7_trccon_count = 3'd0;
-wire soc_sdram_bankmachine7_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine7_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine7_trascon_count = 3'd0;
-wire soc_sdram_ras_allowed;
-wire soc_sdram_cas_allowed;
-reg soc_sdram_choose_cmd_want_reads = 1'd0;
-reg soc_sdram_choose_cmd_want_writes = 1'd0;
-reg soc_sdram_choose_cmd_want_cmds = 1'd0;
-reg soc_sdram_choose_cmd_want_activates = 1'd0;
-wire soc_sdram_choose_cmd_cmd_valid;
-reg soc_sdram_choose_cmd_cmd_ready = 1'd0;
-wire [13:0] soc_sdram_choose_cmd_cmd_payload_a;
-wire [2:0] soc_sdram_choose_cmd_cmd_payload_ba;
-reg soc_sdram_choose_cmd_cmd_payload_cas = 1'd0;
-reg soc_sdram_choose_cmd_cmd_payload_ras = 1'd0;
-reg soc_sdram_choose_cmd_cmd_payload_we = 1'd0;
-wire soc_sdram_choose_cmd_cmd_payload_is_cmd;
-wire soc_sdram_choose_cmd_cmd_payload_is_read;
-wire soc_sdram_choose_cmd_cmd_payload_is_write;
-reg [7:0] soc_sdram_choose_cmd_valids = 8'd0;
-wire [7:0] soc_sdram_choose_cmd_request;
-reg [2:0] soc_sdram_choose_cmd_grant = 3'd0;
-wire soc_sdram_choose_cmd_ce;
-reg soc_sdram_choose_req_want_reads = 1'd0;
-reg soc_sdram_choose_req_want_writes = 1'd0;
-reg soc_sdram_choose_req_want_cmds = 1'd0;
-reg soc_sdram_choose_req_want_activates = 1'd0;
-wire soc_sdram_choose_req_cmd_valid;
-reg soc_sdram_choose_req_cmd_ready = 1'd0;
-wire [13:0] soc_sdram_choose_req_cmd_payload_a;
-wire [2:0] soc_sdram_choose_req_cmd_payload_ba;
-reg soc_sdram_choose_req_cmd_payload_cas = 1'd0;
-reg soc_sdram_choose_req_cmd_payload_ras = 1'd0;
-reg soc_sdram_choose_req_cmd_payload_we = 1'd0;
-wire soc_sdram_choose_req_cmd_payload_is_cmd;
-wire soc_sdram_choose_req_cmd_payload_is_read;
-wire soc_sdram_choose_req_cmd_payload_is_write;
-reg [7:0] soc_sdram_choose_req_valids = 8'd0;
-wire [7:0] soc_sdram_choose_req_request;
-reg [2:0] soc_sdram_choose_req_grant = 3'd0;
-wire soc_sdram_choose_req_ce;
-reg [13:0] soc_sdram_nop_a = 14'd0;
-reg [2:0] soc_sdram_nop_ba = 3'd0;
-reg [1:0] soc_sdram_steerer_sel0 = 2'd0;
-reg [1:0] soc_sdram_steerer_sel1 = 2'd0;
-reg [1:0] soc_sdram_steerer_sel2 = 2'd0;
-reg [1:0] soc_sdram_steerer_sel3 = 2'd0;
-reg soc_sdram_steerer0 = 1'd1;
-reg soc_sdram_steerer1 = 1'd1;
-reg soc_sdram_steerer2 = 1'd1;
-reg soc_sdram_steerer3 = 1'd1;
-reg soc_sdram_steerer4 = 1'd1;
-reg soc_sdram_steerer5 = 1'd1;
-reg soc_sdram_steerer6 = 1'd1;
-reg soc_sdram_steerer7 = 1'd1;
-wire soc_sdram_trrdcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_trrdcon_ready = 1'd1;
-reg soc_sdram_trrdcon_count = 1'd0;
-wire soc_sdram_tfawcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_tfawcon_ready = 1'd1;
-wire [2:0] soc_sdram_tfawcon_count;
-reg [4:0] soc_sdram_tfawcon_window = 5'd0;
-wire soc_sdram_tccdcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_tccdcon_ready = 1'd1;
-reg soc_sdram_tccdcon_count = 1'd0;
-wire soc_sdram_twtrcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_twtrcon_ready = 1'd1;
-reg [2:0] soc_sdram_twtrcon_count = 3'd0;
-wire soc_sdram_read_available;
-wire soc_sdram_write_available;
-reg soc_sdram_en0 = 1'd0;
-wire soc_sdram_max_time0;
-reg [4:0] soc_sdram_time0 = 5'd0;
-reg soc_sdram_en1 = 1'd0;
-wire soc_sdram_max_time1;
-reg [3:0] soc_sdram_time1 = 4'd0;
-wire soc_sdram_go_to_refresh;
-reg soc_port_cmd_valid = 1'd0;
-wire soc_port_cmd_ready;
-reg soc_port_cmd_payload_we = 1'd0;
-reg [23:0] soc_port_cmd_payload_addr = 24'd0;
-wire soc_port_wdata_valid;
-wire soc_port_wdata_ready;
-wire soc_port_wdata_first;
-wire soc_port_wdata_last;
-wire [127:0] soc_port_wdata_payload_data;
-wire [15:0] soc_port_wdata_payload_we;
-wire soc_port_rdata_valid;
-wire soc_port_rdata_ready;
-reg soc_port_rdata_first = 1'd0;
-reg soc_port_rdata_last = 1'd0;
-wire [127:0] soc_port_rdata_payload_data;
-wire [29:0] soc_wb_sdram_adr;
-wire [31:0] soc_wb_sdram_dat_w;
-reg [31:0] soc_wb_sdram_dat_r = 32'd0;
-wire [3:0] soc_wb_sdram_sel;
-wire soc_wb_sdram_cyc;
-wire soc_wb_sdram_stb;
-reg soc_wb_sdram_ack = 1'd0;
-wire soc_wb_sdram_we;
-wire [2:0] soc_wb_sdram_cti;
-wire [1:0] soc_wb_sdram_bte;
-reg soc_wb_sdram_err = 1'd0;
-wire [29:0] soc_litedram_wb_adr;
-reg [127:0] soc_litedram_wb_dat_w = 128'd0;
-wire [127:0] soc_litedram_wb_dat_r;
-reg [15:0] soc_litedram_wb_sel = 16'd0;
-reg soc_litedram_wb_cyc = 1'd0;
-reg soc_litedram_wb_stb = 1'd0;
-reg soc_litedram_wb_ack = 1'd0;
-reg soc_litedram_wb_we = 1'd0;
-wire [2:0] soc_litedram_wb_cti;
-reg soc_write = 1'd0;
-reg soc_evict = 1'd0;
-reg soc_refill = 1'd0;
-reg soc_read = 1'd0;
-wire [29:0] soc_address_d;
-reg [29:0] soc_address_q = 30'd0;
-reg soc_address_ce = 1'd0;
-reg soc_address_reset = 1'd0;
-reg [1:0] soc_counter = 2'd0;
-reg soc_counter_ce = 1'd0;
-reg soc_counter_reset = 1'd0;
-wire [1:0] soc_counter_offset;
-wire soc_counter_done;
-wire [127:0] soc_cached_data;
-wire [15:0] soc_cached_sel;
-wire soc_end_of_burst;
-wire soc_need_refill_d;
-reg soc_need_refill_q = 1'd1;
-reg soc_need_refill_ce = 1'd0;
-wire soc_need_refill_reset;
-reg [31:0] soc_cached_datas_flipflop0_d = 32'd0;
-reg [31:0] soc_cached_datas_flipflop0_q = 32'd0;
-reg soc_cached_datas_ce0 = 1'd0;
-reg soc_cached_datas_reset0 = 1'd0;
-reg [31:0] soc_cached_datas_flipflop1_d = 32'd0;
-reg [31:0] soc_cached_datas_flipflop1_q = 32'd0;
-reg soc_cached_datas_ce1 = 1'd0;
-reg soc_cached_datas_reset1 = 1'd0;
-reg [31:0] soc_cached_datas_flipflop2_d = 32'd0;
-reg [31:0] soc_cached_datas_flipflop2_q = 32'd0;
-reg soc_cached_datas_ce2 = 1'd0;
-reg soc_cached_datas_reset2 = 1'd0;
-reg [31:0] soc_cached_datas_flipflop3_d = 32'd0;
-reg [31:0] soc_cached_datas_flipflop3_q = 32'd0;
-reg soc_cached_datas_ce3 = 1'd0;
-reg soc_cached_datas_reset3 = 1'd0;
-wire [3:0] soc_cached_sels_flipflop0_d;
-reg [3:0] soc_cached_sels_flipflop0_q = 4'd0;
-reg soc_cached_sels_ce0 = 1'd0;
-wire soc_cached_sels_reset0;
-wire [3:0] soc_cached_sels_flipflop1_d;
-reg [3:0] soc_cached_sels_flipflop1_q = 4'd0;
-reg soc_cached_sels_ce1 = 1'd0;
-wire soc_cached_sels_reset1;
-wire [3:0] soc_cached_sels_flipflop2_d;
-reg [3:0] soc_cached_sels_flipflop2_q = 4'd0;
-reg soc_cached_sels_ce2 = 1'd0;
-wire soc_cached_sels_reset2;
-wire [3:0] soc_cached_sels_flipflop3_d;
-reg [3:0] soc_cached_sels_flipflop3_q = 4'd0;
-reg soc_cached_sels_ce3 = 1'd0;
-wire soc_cached_sels_reset3;
-reg soc_write_sel0 = 1'd0;
-reg soc_write_sel1 = 1'd0;
-reg soc_write_sel2 = 1'd0;
-reg soc_write_sel3 = 1'd0;
-wire soc_wdata_converter_sink_valid;
-wire soc_wdata_converter_sink_ready;
-reg soc_wdata_converter_sink_first = 1'd0;
-reg soc_wdata_converter_sink_last = 1'd0;
-wire [127:0] soc_wdata_converter_sink_payload_data;
-wire [15:0] soc_wdata_converter_sink_payload_we;
-wire soc_wdata_converter_source_valid;
-wire soc_wdata_converter_source_ready;
-wire soc_wdata_converter_source_first;
-wire soc_wdata_converter_source_last;
-wire [127:0] soc_wdata_converter_source_payload_data;
-wire [15:0] soc_wdata_converter_source_payload_we;
-wire soc_wdata_converter_converter_sink_valid;
-wire soc_wdata_converter_converter_sink_ready;
-wire soc_wdata_converter_converter_sink_first;
-wire soc_wdata_converter_converter_sink_last;
-wire [143:0] soc_wdata_converter_converter_sink_payload_data;
-wire soc_wdata_converter_converter_source_valid;
-wire soc_wdata_converter_converter_source_ready;
-wire soc_wdata_converter_converter_source_first;
-wire soc_wdata_converter_converter_source_last;
-wire [143:0] soc_wdata_converter_converter_source_payload_data;
-wire soc_wdata_converter_converter_source_payload_valid_token_count;
-wire soc_wdata_converter_source_source_valid;
-wire soc_wdata_converter_source_source_ready;
-wire soc_wdata_converter_source_source_first;
-wire soc_wdata_converter_source_source_last;
-wire [143:0] soc_wdata_converter_source_source_payload_data;
-wire soc_rdata_converter_sink_valid;
-wire soc_rdata_converter_sink_ready;
-wire soc_rdata_converter_sink_first;
-wire soc_rdata_converter_sink_last;
-wire [127:0] soc_rdata_converter_sink_payload_data;
-wire soc_rdata_converter_source_valid;
-wire soc_rdata_converter_source_ready;
-wire soc_rdata_converter_source_first;
-wire soc_rdata_converter_source_last;
-wire [127:0] soc_rdata_converter_source_payload_data;
-wire soc_rdata_converter_converter_sink_valid;
-wire soc_rdata_converter_converter_sink_ready;
-wire soc_rdata_converter_converter_sink_first;
-wire soc_rdata_converter_converter_sink_last;
-wire [127:0] soc_rdata_converter_converter_sink_payload_data;
-wire soc_rdata_converter_converter_source_valid;
-wire soc_rdata_converter_converter_source_ready;
-wire soc_rdata_converter_converter_source_first;
-wire soc_rdata_converter_converter_source_last;
-wire [127:0] soc_rdata_converter_converter_source_payload_data;
-wire soc_rdata_converter_converter_source_payload_valid_token_count;
-wire soc_rdata_converter_source_source_valid;
-wire soc_rdata_converter_source_source_ready;
-wire soc_rdata_converter_source_source_first;
-wire soc_rdata_converter_source_source_last;
-wire [127:0] soc_rdata_converter_source_source_payload_data;
-reg soc_count = 1'd0;
-reg soc_init_done_storage = 1'd0;
-reg soc_init_done_re = 1'd0;
-reg soc_init_error_storage = 1'd0;
-reg soc_init_error_re = 1'd0;
-wire soc_cmd_valid;
-wire soc_cmd_ready;
-wire soc_cmd_payload_we;
-wire [23:0] soc_cmd_payload_addr;
-wire soc_wdata_valid;
-wire soc_wdata_ready;
-wire [127:0] soc_wdata_payload_data;
-wire [15:0] soc_wdata_payload_we;
-wire soc_rdata_valid;
-wire soc_rdata_ready;
-wire [127:0] soc_rdata_payload_data;
-reg vns_wb2csr_state = 1'd0;
-reg vns_wb2csr_next_state = 1'd0;
-wire vns_pll_fb0;
-wire vns_pll_fb1;
-reg [1:0] vns_refresher_state = 2'd0;
-reg [1:0] vns_refresher_next_state = 2'd0;
-reg [3:0] vns_bankmachine0_state = 4'd0;
-reg [3:0] vns_bankmachine0_next_state = 4'd0;
-reg [3:0] vns_bankmachine1_state = 4'd0;
-reg [3:0] vns_bankmachine1_next_state = 4'd0;
-reg [3:0] vns_bankmachine2_state = 4'd0;
-reg [3:0] vns_bankmachine2_next_state = 4'd0;
-reg [3:0] vns_bankmachine3_state = 4'd0;
-reg [3:0] vns_bankmachine3_next_state = 4'd0;
-reg [3:0] vns_bankmachine4_state = 4'd0;
-reg [3:0] vns_bankmachine4_next_state = 4'd0;
-reg [3:0] vns_bankmachine5_state = 4'd0;
-reg [3:0] vns_bankmachine5_next_state = 4'd0;
-reg [3:0] vns_bankmachine6_state = 4'd0;
-reg [3:0] vns_bankmachine6_next_state = 4'd0;
-reg [3:0] vns_bankmachine7_state = 4'd0;
-reg [3:0] vns_bankmachine7_next_state = 4'd0;
-reg [3:0] vns_multiplexer_state = 4'd0;
-reg [3:0] vns_multiplexer_next_state = 4'd0;
-wire [1:0] vns_roundrobin0_request;
-reg vns_roundrobin0_grant = 1'd0;
-wire vns_roundrobin0_ce;
-wire [1:0] vns_roundrobin1_request;
-reg vns_roundrobin1_grant = 1'd0;
-wire vns_roundrobin1_ce;
-wire [1:0] vns_roundrobin2_request;
-reg vns_roundrobin2_grant = 1'd0;
-wire vns_roundrobin2_ce;
-wire [1:0] vns_roundrobin3_request;
-reg vns_roundrobin3_grant = 1'd0;
-wire vns_roundrobin3_ce;
-wire [1:0] vns_roundrobin4_request;
-reg vns_roundrobin4_grant = 1'd0;
-wire vns_roundrobin4_ce;
-wire [1:0] vns_roundrobin5_request;
-reg vns_roundrobin5_grant = 1'd0;
-wire vns_roundrobin5_ce;
-wire [1:0] vns_roundrobin6_request;
-reg vns_roundrobin6_grant = 1'd0;
-wire vns_roundrobin6_ce;
-wire [1:0] vns_roundrobin7_request;
-reg vns_roundrobin7_grant = 1'd0;
-wire vns_roundrobin7_ce;
-reg vns_locked0 = 1'd0;
-reg vns_locked1 = 1'd0;
-reg vns_locked2 = 1'd0;
-reg vns_locked3 = 1'd0;
-reg vns_locked4 = 1'd0;
-reg vns_locked5 = 1'd0;
-reg vns_locked6 = 1'd0;
-reg vns_locked7 = 1'd0;
-reg vns_locked8 = 1'd0;
-reg vns_locked9 = 1'd0;
-reg vns_locked10 = 1'd0;
-reg vns_locked11 = 1'd0;
-reg vns_locked12 = 1'd0;
-reg vns_locked13 = 1'd0;
-reg vns_locked14 = 1'd0;
-reg vns_locked15 = 1'd0;
-reg vns_new_master_wdata_ready0 = 1'd0;
-reg vns_new_master_wdata_ready1 = 1'd0;
-reg vns_new_master_wdata_ready2 = 1'd0;
-reg vns_new_master_wdata_ready3 = 1'd0;
-reg vns_new_master_wdata_ready4 = 1'd0;
-reg vns_new_master_wdata_ready5 = 1'd0;
-reg vns_new_master_rdata_valid0 = 1'd0;
-reg vns_new_master_rdata_valid1 = 1'd0;
-reg vns_new_master_rdata_valid2 = 1'd0;
-reg vns_new_master_rdata_valid3 = 1'd0;
-reg vns_new_master_rdata_valid4 = 1'd0;
-reg vns_new_master_rdata_valid5 = 1'd0;
-reg vns_new_master_rdata_valid6 = 1'd0;
-reg vns_new_master_rdata_valid7 = 1'd0;
-reg vns_new_master_rdata_valid8 = 1'd0;
-reg vns_new_master_rdata_valid9 = 1'd0;
-reg vns_new_master_rdata_valid10 = 1'd0;
-reg vns_new_master_rdata_valid11 = 1'd0;
-reg vns_new_master_rdata_valid12 = 1'd0;
-reg vns_new_master_rdata_valid13 = 1'd0;
-reg vns_new_master_rdata_valid14 = 1'd0;
-reg vns_new_master_rdata_valid15 = 1'd0;
-reg vns_new_master_rdata_valid16 = 1'd0;
-reg vns_new_master_rdata_valid17 = 1'd0;
-reg [2:0] vns_converter_state = 3'd0;
-reg [2:0] vns_converter_next_state = 3'd0;
-reg [1:0] vns_litedramwishbone2native_state = 2'd0;
-reg [1:0] vns_litedramwishbone2native_next_state = 2'd0;
-reg soc_count_next_value = 1'd0;
-reg soc_count_next_value_ce = 1'd0;
-wire [29:0] vns_shared_adr;
-wire [31:0] vns_shared_dat_w;
-reg [31:0] vns_shared_dat_r = 32'd0;
-wire [3:0] vns_shared_sel;
-wire vns_shared_cyc;
-wire vns_shared_stb;
-reg vns_shared_ack = 1'd0;
-wire vns_shared_we;
-wire [2:0] vns_shared_cti;
-wire [1:0] vns_shared_bte;
-wire vns_shared_err;
-wire [1:0] vns_request;
-reg vns_grant = 1'd0;
-reg [3:0] vns_slave_sel = 4'd0;
-reg [3:0] vns_slave_sel_r = 4'd0;
-reg vns_error = 1'd0;
-wire vns_wait;
-wire vns_done;
-reg [19:0] vns_count = 20'd1000000;
-wire [13:0] vns_interface0_bank_bus_adr;
-wire vns_interface0_bank_bus_we;
-wire [7:0] vns_interface0_bank_bus_dat_w;
-reg [7:0] vns_interface0_bank_bus_dat_r = 8'd0;
-wire vns_csrbank0_reset0_re;
-wire vns_csrbank0_reset0_r;
-wire vns_csrbank0_reset0_we;
-wire vns_csrbank0_reset0_w;
-wire vns_csrbank0_scratch3_re;
-wire [7:0] vns_csrbank0_scratch3_r;
-wire vns_csrbank0_scratch3_we;
-wire [7:0] vns_csrbank0_scratch3_w;
-wire vns_csrbank0_scratch2_re;
-wire [7:0] vns_csrbank0_scratch2_r;
-wire vns_csrbank0_scratch2_we;
-wire [7:0] vns_csrbank0_scratch2_w;
-wire vns_csrbank0_scratch1_re;
-wire [7:0] vns_csrbank0_scratch1_r;
-wire vns_csrbank0_scratch1_we;
-wire [7:0] vns_csrbank0_scratch1_w;
-wire vns_csrbank0_scratch0_re;
-wire [7:0] vns_csrbank0_scratch0_r;
-wire vns_csrbank0_scratch0_we;
-wire [7:0] vns_csrbank0_scratch0_w;
-wire vns_csrbank0_bus_errors3_re;
-wire [7:0] vns_csrbank0_bus_errors3_r;
-wire vns_csrbank0_bus_errors3_we;
-wire [7:0] vns_csrbank0_bus_errors3_w;
-wire vns_csrbank0_bus_errors2_re;
-wire [7:0] vns_csrbank0_bus_errors2_r;
-wire vns_csrbank0_bus_errors2_we;
-wire [7:0] vns_csrbank0_bus_errors2_w;
-wire vns_csrbank0_bus_errors1_re;
-wire [7:0] vns_csrbank0_bus_errors1_r;
-wire vns_csrbank0_bus_errors1_we;
-wire [7:0] vns_csrbank0_bus_errors1_w;
-wire vns_csrbank0_bus_errors0_re;
-wire [7:0] vns_csrbank0_bus_errors0_r;
-wire vns_csrbank0_bus_errors0_we;
-wire [7:0] vns_csrbank0_bus_errors0_w;
-wire vns_csrbank0_sel;
-wire [13:0] vns_interface1_bank_bus_adr;
-wire vns_interface1_bank_bus_we;
-wire [7:0] vns_interface1_bank_bus_dat_w;
-reg [7:0] vns_interface1_bank_bus_dat_r = 8'd0;
-wire vns_csrbank1_init_done0_re;
-wire vns_csrbank1_init_done0_r;
-wire vns_csrbank1_init_done0_we;
-wire vns_csrbank1_init_done0_w;
-wire vns_csrbank1_init_error0_re;
-wire vns_csrbank1_init_error0_r;
-wire vns_csrbank1_init_error0_we;
-wire vns_csrbank1_init_error0_w;
-wire vns_csrbank1_sel;
-wire [13:0] vns_interface2_bank_bus_adr;
-wire vns_interface2_bank_bus_we;
-wire [7:0] vns_interface2_bank_bus_dat_w;
-reg [7:0] vns_interface2_bank_bus_dat_r = 8'd0;
-wire vns_csrbank2_half_sys8x_taps0_re;
-wire [4:0] vns_csrbank2_half_sys8x_taps0_r;
-wire vns_csrbank2_half_sys8x_taps0_we;
-wire [4:0] vns_csrbank2_half_sys8x_taps0_w;
-wire vns_csrbank2_wlevel_en0_re;
-wire vns_csrbank2_wlevel_en0_r;
-wire vns_csrbank2_wlevel_en0_we;
-wire vns_csrbank2_wlevel_en0_w;
-wire vns_csrbank2_dly_sel0_re;
-wire [1:0] vns_csrbank2_dly_sel0_r;
-wire vns_csrbank2_dly_sel0_we;
-wire [1:0] vns_csrbank2_dly_sel0_w;
-wire vns_csrbank2_sel;
-wire [13:0] vns_interface3_bank_bus_adr;
-wire vns_interface3_bank_bus_we;
-wire [7:0] vns_interface3_bank_bus_dat_w;
-reg [7:0] vns_interface3_bank_bus_dat_r = 8'd0;
-wire vns_csrbank3_dfii_control0_re;
-wire [3:0] vns_csrbank3_dfii_control0_r;
-wire vns_csrbank3_dfii_control0_we;
-wire [3:0] vns_csrbank3_dfii_control0_w;
-wire vns_csrbank3_dfii_pi0_command0_re;
-wire [5:0] vns_csrbank3_dfii_pi0_command0_r;
-wire vns_csrbank3_dfii_pi0_command0_we;
-wire [5:0] vns_csrbank3_dfii_pi0_command0_w;
-wire vns_csrbank3_dfii_pi0_address1_re;
-wire [5:0] vns_csrbank3_dfii_pi0_address1_r;
-wire vns_csrbank3_dfii_pi0_address1_we;
-wire [5:0] vns_csrbank3_dfii_pi0_address1_w;
-wire vns_csrbank3_dfii_pi0_address0_re;
-wire [7:0] vns_csrbank3_dfii_pi0_address0_r;
-wire vns_csrbank3_dfii_pi0_address0_we;
-wire [7:0] vns_csrbank3_dfii_pi0_address0_w;
-wire vns_csrbank3_dfii_pi0_baddress0_re;
-wire [2:0] vns_csrbank3_dfii_pi0_baddress0_r;
-wire vns_csrbank3_dfii_pi0_baddress0_we;
-wire [2:0] vns_csrbank3_dfii_pi0_baddress0_w;
-wire vns_csrbank3_dfii_pi0_wrdata3_re;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata3_r;
-wire vns_csrbank3_dfii_pi0_wrdata3_we;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata3_w;
-wire vns_csrbank3_dfii_pi0_wrdata2_re;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata2_r;
-wire vns_csrbank3_dfii_pi0_wrdata2_we;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata2_w;
-wire vns_csrbank3_dfii_pi0_wrdata1_re;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata1_r;
-wire vns_csrbank3_dfii_pi0_wrdata1_we;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata1_w;
-wire vns_csrbank3_dfii_pi0_wrdata0_re;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata0_r;
-wire vns_csrbank3_dfii_pi0_wrdata0_we;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata0_w;
-wire vns_csrbank3_dfii_pi0_rddata3_re;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata3_r;
-wire vns_csrbank3_dfii_pi0_rddata3_we;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata3_w;
-wire vns_csrbank3_dfii_pi0_rddata2_re;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata2_r;
-wire vns_csrbank3_dfii_pi0_rddata2_we;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata2_w;
-wire vns_csrbank3_dfii_pi0_rddata1_re;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata1_r;
-wire vns_csrbank3_dfii_pi0_rddata1_we;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata1_w;
-wire vns_csrbank3_dfii_pi0_rddata0_re;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata0_r;
-wire vns_csrbank3_dfii_pi0_rddata0_we;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata0_w;
-wire vns_csrbank3_dfii_pi1_command0_re;
-wire [5:0] vns_csrbank3_dfii_pi1_command0_r;
-wire vns_csrbank3_dfii_pi1_command0_we;
-wire [5:0] vns_csrbank3_dfii_pi1_command0_w;
-wire vns_csrbank3_dfii_pi1_address1_re;
-wire [5:0] vns_csrbank3_dfii_pi1_address1_r;
-wire vns_csrbank3_dfii_pi1_address1_we;
-wire [5:0] vns_csrbank3_dfii_pi1_address1_w;
-wire vns_csrbank3_dfii_pi1_address0_re;
-wire [7:0] vns_csrbank3_dfii_pi1_address0_r;
-wire vns_csrbank3_dfii_pi1_address0_we;
-wire [7:0] vns_csrbank3_dfii_pi1_address0_w;
-wire vns_csrbank3_dfii_pi1_baddress0_re;
-wire [2:0] vns_csrbank3_dfii_pi1_baddress0_r;
-wire vns_csrbank3_dfii_pi1_baddress0_we;
-wire [2:0] vns_csrbank3_dfii_pi1_baddress0_w;
-wire vns_csrbank3_dfii_pi1_wrdata3_re;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata3_r;
-wire vns_csrbank3_dfii_pi1_wrdata3_we;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata3_w;
-wire vns_csrbank3_dfii_pi1_wrdata2_re;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata2_r;
-wire vns_csrbank3_dfii_pi1_wrdata2_we;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata2_w;
-wire vns_csrbank3_dfii_pi1_wrdata1_re;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata1_r;
-wire vns_csrbank3_dfii_pi1_wrdata1_we;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata1_w;
-wire vns_csrbank3_dfii_pi1_wrdata0_re;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata0_r;
-wire vns_csrbank3_dfii_pi1_wrdata0_we;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata0_w;
-wire vns_csrbank3_dfii_pi1_rddata3_re;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata3_r;
-wire vns_csrbank3_dfii_pi1_rddata3_we;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata3_w;
-wire vns_csrbank3_dfii_pi1_rddata2_re;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata2_r;
-wire vns_csrbank3_dfii_pi1_rddata2_we;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata2_w;
-wire vns_csrbank3_dfii_pi1_rddata1_re;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata1_r;
-wire vns_csrbank3_dfii_pi1_rddata1_we;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata1_w;
-wire vns_csrbank3_dfii_pi1_rddata0_re;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata0_r;
-wire vns_csrbank3_dfii_pi1_rddata0_we;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata0_w;
-wire vns_csrbank3_dfii_pi2_command0_re;
-wire [5:0] vns_csrbank3_dfii_pi2_command0_r;
-wire vns_csrbank3_dfii_pi2_command0_we;
-wire [5:0] vns_csrbank3_dfii_pi2_command0_w;
-wire vns_csrbank3_dfii_pi2_address1_re;
-wire [5:0] vns_csrbank3_dfii_pi2_address1_r;
-wire vns_csrbank3_dfii_pi2_address1_we;
-wire [5:0] vns_csrbank3_dfii_pi2_address1_w;
-wire vns_csrbank3_dfii_pi2_address0_re;
-wire [7:0] vns_csrbank3_dfii_pi2_address0_r;
-wire vns_csrbank3_dfii_pi2_address0_we;
-wire [7:0] vns_csrbank3_dfii_pi2_address0_w;
-wire vns_csrbank3_dfii_pi2_baddress0_re;
-wire [2:0] vns_csrbank3_dfii_pi2_baddress0_r;
-wire vns_csrbank3_dfii_pi2_baddress0_we;
-wire [2:0] vns_csrbank3_dfii_pi2_baddress0_w;
-wire vns_csrbank3_dfii_pi2_wrdata3_re;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata3_r;
-wire vns_csrbank3_dfii_pi2_wrdata3_we;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata3_w;
-wire vns_csrbank3_dfii_pi2_wrdata2_re;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata2_r;
-wire vns_csrbank3_dfii_pi2_wrdata2_we;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata2_w;
-wire vns_csrbank3_dfii_pi2_wrdata1_re;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata1_r;
-wire vns_csrbank3_dfii_pi2_wrdata1_we;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata1_w;
-wire vns_csrbank3_dfii_pi2_wrdata0_re;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata0_r;
-wire vns_csrbank3_dfii_pi2_wrdata0_we;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata0_w;
-wire vns_csrbank3_dfii_pi2_rddata3_re;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata3_r;
-wire vns_csrbank3_dfii_pi2_rddata3_we;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata3_w;
-wire vns_csrbank3_dfii_pi2_rddata2_re;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata2_r;
-wire vns_csrbank3_dfii_pi2_rddata2_we;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata2_w;
-wire vns_csrbank3_dfii_pi2_rddata1_re;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata1_r;
-wire vns_csrbank3_dfii_pi2_rddata1_we;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata1_w;
-wire vns_csrbank3_dfii_pi2_rddata0_re;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata0_r;
-wire vns_csrbank3_dfii_pi2_rddata0_we;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata0_w;
-wire vns_csrbank3_dfii_pi3_command0_re;
-wire [5:0] vns_csrbank3_dfii_pi3_command0_r;
-wire vns_csrbank3_dfii_pi3_command0_we;
-wire [5:0] vns_csrbank3_dfii_pi3_command0_w;
-wire vns_csrbank3_dfii_pi3_address1_re;
-wire [5:0] vns_csrbank3_dfii_pi3_address1_r;
-wire vns_csrbank3_dfii_pi3_address1_we;
-wire [5:0] vns_csrbank3_dfii_pi3_address1_w;
-wire vns_csrbank3_dfii_pi3_address0_re;
-wire [7:0] vns_csrbank3_dfii_pi3_address0_r;
-wire vns_csrbank3_dfii_pi3_address0_we;
-wire [7:0] vns_csrbank3_dfii_pi3_address0_w;
-wire vns_csrbank3_dfii_pi3_baddress0_re;
-wire [2:0] vns_csrbank3_dfii_pi3_baddress0_r;
-wire vns_csrbank3_dfii_pi3_baddress0_we;
-wire [2:0] vns_csrbank3_dfii_pi3_baddress0_w;
-wire vns_csrbank3_dfii_pi3_wrdata3_re;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata3_r;
-wire vns_csrbank3_dfii_pi3_wrdata3_we;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata3_w;
-wire vns_csrbank3_dfii_pi3_wrdata2_re;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata2_r;
-wire vns_csrbank3_dfii_pi3_wrdata2_we;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata2_w;
-wire vns_csrbank3_dfii_pi3_wrdata1_re;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata1_r;
-wire vns_csrbank3_dfii_pi3_wrdata1_we;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata1_w;
-wire vns_csrbank3_dfii_pi3_wrdata0_re;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata0_r;
-wire vns_csrbank3_dfii_pi3_wrdata0_we;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata0_w;
-wire vns_csrbank3_dfii_pi3_rddata3_re;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata3_r;
-wire vns_csrbank3_dfii_pi3_rddata3_we;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata3_w;
-wire vns_csrbank3_dfii_pi3_rddata2_re;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata2_r;
-wire vns_csrbank3_dfii_pi3_rddata2_we;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata2_w;
-wire vns_csrbank3_dfii_pi3_rddata1_re;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata1_r;
-wire vns_csrbank3_dfii_pi3_rddata1_we;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata1_w;
-wire vns_csrbank3_dfii_pi3_rddata0_re;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata0_r;
-wire vns_csrbank3_dfii_pi3_rddata0_we;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata0_w;
-wire vns_csrbank3_sel;
-wire [13:0] vns_interface4_bank_bus_adr;
-wire vns_interface4_bank_bus_we;
-wire [7:0] vns_interface4_bank_bus_dat_w;
-reg [7:0] vns_interface4_bank_bus_dat_r = 8'd0;
-wire vns_csrbank4_load3_re;
-wire [7:0] vns_csrbank4_load3_r;
-wire vns_csrbank4_load3_we;
-wire [7:0] vns_csrbank4_load3_w;
-wire vns_csrbank4_load2_re;
-wire [7:0] vns_csrbank4_load2_r;
-wire vns_csrbank4_load2_we;
-wire [7:0] vns_csrbank4_load2_w;
-wire vns_csrbank4_load1_re;
-wire [7:0] vns_csrbank4_load1_r;
-wire vns_csrbank4_load1_we;
-wire [7:0] vns_csrbank4_load1_w;
-wire vns_csrbank4_load0_re;
-wire [7:0] vns_csrbank4_load0_r;
-wire vns_csrbank4_load0_we;
-wire [7:0] vns_csrbank4_load0_w;
-wire vns_csrbank4_reload3_re;
-wire [7:0] vns_csrbank4_reload3_r;
-wire vns_csrbank4_reload3_we;
-wire [7:0] vns_csrbank4_reload3_w;
-wire vns_csrbank4_reload2_re;
-wire [7:0] vns_csrbank4_reload2_r;
-wire vns_csrbank4_reload2_we;
-wire [7:0] vns_csrbank4_reload2_w;
-wire vns_csrbank4_reload1_re;
-wire [7:0] vns_csrbank4_reload1_r;
-wire vns_csrbank4_reload1_we;
-wire [7:0] vns_csrbank4_reload1_w;
-wire vns_csrbank4_reload0_re;
-wire [7:0] vns_csrbank4_reload0_r;
-wire vns_csrbank4_reload0_we;
-wire [7:0] vns_csrbank4_reload0_w;
-wire vns_csrbank4_en0_re;
-wire vns_csrbank4_en0_r;
-wire vns_csrbank4_en0_we;
-wire vns_csrbank4_en0_w;
-wire vns_csrbank4_update_value0_re;
-wire vns_csrbank4_update_value0_r;
-wire vns_csrbank4_update_value0_we;
-wire vns_csrbank4_update_value0_w;
-wire vns_csrbank4_value3_re;
-wire [7:0] vns_csrbank4_value3_r;
-wire vns_csrbank4_value3_we;
-wire [7:0] vns_csrbank4_value3_w;
-wire vns_csrbank4_value2_re;
-wire [7:0] vns_csrbank4_value2_r;
-wire vns_csrbank4_value2_we;
-wire [7:0] vns_csrbank4_value2_w;
-wire vns_csrbank4_value1_re;
-wire [7:0] vns_csrbank4_value1_r;
-wire vns_csrbank4_value1_we;
-wire [7:0] vns_csrbank4_value1_w;
-wire vns_csrbank4_value0_re;
-wire [7:0] vns_csrbank4_value0_r;
-wire vns_csrbank4_value0_we;
-wire [7:0] vns_csrbank4_value0_w;
-wire vns_csrbank4_ev_enable0_re;
-wire vns_csrbank4_ev_enable0_r;
-wire vns_csrbank4_ev_enable0_we;
-wire vns_csrbank4_ev_enable0_w;
-wire vns_csrbank4_sel;
-wire [13:0] vns_interface5_bank_bus_adr;
-wire vns_interface5_bank_bus_we;
-wire [7:0] vns_interface5_bank_bus_dat_w;
-reg [7:0] vns_interface5_bank_bus_dat_r = 8'd0;
-wire vns_csrbank5_txfull_re;
-wire vns_csrbank5_txfull_r;
-wire vns_csrbank5_txfull_we;
-wire vns_csrbank5_txfull_w;
-wire vns_csrbank5_rxempty_re;
-wire vns_csrbank5_rxempty_r;
-wire vns_csrbank5_rxempty_we;
-wire vns_csrbank5_rxempty_w;
-wire vns_csrbank5_ev_enable0_re;
-wire [1:0] vns_csrbank5_ev_enable0_r;
-wire vns_csrbank5_ev_enable0_we;
-wire [1:0] vns_csrbank5_ev_enable0_w;
-wire vns_csrbank5_sel;
-wire [13:0] vns_interface6_bank_bus_adr;
-wire vns_interface6_bank_bus_we;
-wire [7:0] vns_interface6_bank_bus_dat_w;
-reg [7:0] vns_interface6_bank_bus_dat_r = 8'd0;
-wire vns_csrbank6_tuning_word3_re;
-wire [7:0] vns_csrbank6_tuning_word3_r;
-wire vns_csrbank6_tuning_word3_we;
-wire [7:0] vns_csrbank6_tuning_word3_w;
-wire vns_csrbank6_tuning_word2_re;
-wire [7:0] vns_csrbank6_tuning_word2_r;
-wire vns_csrbank6_tuning_word2_we;
-wire [7:0] vns_csrbank6_tuning_word2_w;
-wire vns_csrbank6_tuning_word1_re;
-wire [7:0] vns_csrbank6_tuning_word1_r;
-wire vns_csrbank6_tuning_word1_we;
-wire [7:0] vns_csrbank6_tuning_word1_w;
-wire vns_csrbank6_tuning_word0_re;
-wire [7:0] vns_csrbank6_tuning_word0_r;
-wire vns_csrbank6_tuning_word0_we;
-wire [7:0] vns_csrbank6_tuning_word0_w;
-wire vns_csrbank6_sel;
-wire [13:0] vns_adr;
-wire vns_we;
-wire [7:0] vns_dat_w;
-wire [7:0] vns_dat_r;
-reg vns_rhs_array_muxed0 = 1'd0;
-reg [13:0] vns_rhs_array_muxed1 = 14'd0;
-reg [2:0] vns_rhs_array_muxed2 = 3'd0;
-reg vns_rhs_array_muxed3 = 1'd0;
-reg vns_rhs_array_muxed4 = 1'd0;
-reg vns_rhs_array_muxed5 = 1'd0;
-reg vns_t_array_muxed0 = 1'd0;
-reg vns_t_array_muxed1 = 1'd0;
-reg vns_t_array_muxed2 = 1'd0;
-reg vns_rhs_array_muxed6 = 1'd0;
-reg [13:0] vns_rhs_array_muxed7 = 14'd0;
-reg [2:0] vns_rhs_array_muxed8 = 3'd0;
-reg vns_rhs_array_muxed9 = 1'd0;
-reg vns_rhs_array_muxed10 = 1'd0;
-reg vns_rhs_array_muxed11 = 1'd0;
-reg vns_t_array_muxed3 = 1'd0;
-reg vns_t_array_muxed4 = 1'd0;
-reg vns_t_array_muxed5 = 1'd0;
-reg [20:0] vns_rhs_array_muxed12 = 21'd0;
-reg vns_rhs_array_muxed13 = 1'd0;
-reg vns_rhs_array_muxed14 = 1'd0;
-reg [20:0] vns_rhs_array_muxed15 = 21'd0;
-reg vns_rhs_array_muxed16 = 1'd0;
-reg vns_rhs_array_muxed17 = 1'd0;
-reg [20:0] vns_rhs_array_muxed18 = 21'd0;
-reg vns_rhs_array_muxed19 = 1'd0;
-reg vns_rhs_array_muxed20 = 1'd0;
-reg [20:0] vns_rhs_array_muxed21 = 21'd0;
-reg vns_rhs_array_muxed22 = 1'd0;
-reg vns_rhs_array_muxed23 = 1'd0;
-reg [20:0] vns_rhs_array_muxed24 = 21'd0;
-reg vns_rhs_array_muxed25 = 1'd0;
-reg vns_rhs_array_muxed26 = 1'd0;
-reg [20:0] vns_rhs_array_muxed27 = 21'd0;
-reg vns_rhs_array_muxed28 = 1'd0;
-reg vns_rhs_array_muxed29 = 1'd0;
-reg [20:0] vns_rhs_array_muxed30 = 21'd0;
-reg vns_rhs_array_muxed31 = 1'd0;
-reg vns_rhs_array_muxed32 = 1'd0;
-reg [20:0] vns_rhs_array_muxed33 = 21'd0;
-reg vns_rhs_array_muxed34 = 1'd0;
-reg vns_rhs_array_muxed35 = 1'd0;
-reg [29:0] vns_rhs_array_muxed36 = 30'd0;
-reg [31:0] vns_rhs_array_muxed37 = 32'd0;
-reg [3:0] vns_rhs_array_muxed38 = 4'd0;
-reg vns_rhs_array_muxed39 = 1'd0;
-reg vns_rhs_array_muxed40 = 1'd0;
-reg vns_rhs_array_muxed41 = 1'd0;
-reg [2:0] vns_rhs_array_muxed42 = 3'd0;
-reg [1:0] vns_rhs_array_muxed43 = 2'd0;
-reg [2:0] vns_array_muxed0 = 3'd0;
-reg [13:0] vns_array_muxed1 = 14'd0;
-reg vns_array_muxed2 = 1'd0;
-reg vns_array_muxed3 = 1'd0;
-reg vns_array_muxed4 = 1'd0;
-reg vns_array_muxed5 = 1'd0;
-reg vns_array_muxed6 = 1'd0;
-reg [2:0] vns_array_muxed7 = 3'd0;
-reg [13:0] vns_array_muxed8 = 14'd0;
-reg vns_array_muxed9 = 1'd0;
-reg vns_array_muxed10 = 1'd0;
-reg vns_array_muxed11 = 1'd0;
-reg vns_array_muxed12 = 1'd0;
-reg vns_array_muxed13 = 1'd0;
-reg [2:0] vns_array_muxed14 = 3'd0;
-reg [13:0] vns_array_muxed15 = 14'd0;
-reg vns_array_muxed16 = 1'd0;
-reg vns_array_muxed17 = 1'd0;
-reg vns_array_muxed18 = 1'd0;
-reg vns_array_muxed19 = 1'd0;
-reg vns_array_muxed20 = 1'd0;
-reg [2:0] vns_array_muxed21 = 3'd0;
-reg [13:0] vns_array_muxed22 = 14'd0;
-reg vns_array_muxed23 = 1'd0;
-reg vns_array_muxed24 = 1'd0;
-reg vns_array_muxed25 = 1'd0;
-reg vns_array_muxed26 = 1'd0;
-reg vns_array_muxed27 = 1'd0;
-(* async_reg = "true", mr_ff = "true", dont_touch = "true" *) reg vns_regs0 = 1'd0;
-(* async_reg = "true", dont_touch = "true" *) reg vns_regs1 = 1'd0;
-wire vns_xilinxasyncresetsynchronizerimpl0;
-wire vns_xilinxasyncresetsynchronizerimpl0_rst_meta;
-wire vns_xilinxasyncresetsynchronizerimpl1;
-wire vns_xilinxasyncresetsynchronizerimpl1_rst_meta;
-wire vns_xilinxasyncresetsynchronizerimpl1_expr;
-wire vns_xilinxasyncresetsynchronizerimpl2;
-wire vns_xilinxasyncresetsynchronizerimpl2_rst_meta;
-wire vns_xilinxasyncresetsynchronizerimpl2_expr;
-wire vns_xilinxasyncresetsynchronizerimpl3;
-wire vns_xilinxasyncresetsynchronizerimpl3_rst_meta;
+wire sys_pll_reset;
+wire sys_pll_locked;
+wire s7pll0_clkin;
+wire s7pll0_clkout0;
+wire s7pll0_clkout_buf0;
+wire s7pll0_clkout1;
+wire s7pll0_clkout_buf1;
+wire s7pll0_clkout2;
+wire s7pll0_clkout_buf2;
+wire iodelay_pll_reset;
+wire iodelay_pll_locked;
+wire s7pll1_clkin;
+wire s7pll1_clkout;
+wire s7pll1_clkout_buf;
+reg [3:0] reset_counter = 4'd15;
+reg ic_reset = 1'd1;
+reg [4:0] a7ddrphy_half_sys8x_taps_storage = 5'd8;
+reg a7ddrphy_half_sys8x_taps_re = 1'd0;
+reg a7ddrphy_wlevel_en_storage = 1'd0;
+reg a7ddrphy_wlevel_en_re = 1'd0;
+wire a7ddrphy_wlevel_strobe_re;
+wire a7ddrphy_wlevel_strobe_r;
+wire a7ddrphy_wlevel_strobe_we;
+reg a7ddrphy_wlevel_strobe_w = 1'd0;
+wire a7ddrphy_cdly_rst_re;
+wire a7ddrphy_cdly_rst_r;
+wire a7ddrphy_cdly_rst_we;
+reg a7ddrphy_cdly_rst_w = 1'd0;
+wire a7ddrphy_cdly_inc_re;
+wire a7ddrphy_cdly_inc_r;
+wire a7ddrphy_cdly_inc_we;
+reg a7ddrphy_cdly_inc_w = 1'd0;
+reg [1:0] a7ddrphy_dly_sel_storage = 2'd0;
+reg a7ddrphy_dly_sel_re = 1'd0;
+wire a7ddrphy_rdly_dq_rst_re;
+wire a7ddrphy_rdly_dq_rst_r;
+wire a7ddrphy_rdly_dq_rst_we;
+reg a7ddrphy_rdly_dq_rst_w = 1'd0;
+wire a7ddrphy_rdly_dq_inc_re;
+wire a7ddrphy_rdly_dq_inc_r;
+wire a7ddrphy_rdly_dq_inc_we;
+reg a7ddrphy_rdly_dq_inc_w = 1'd0;
+wire a7ddrphy_rdly_dq_bitslip_rst_re;
+wire a7ddrphy_rdly_dq_bitslip_rst_r;
+wire a7ddrphy_rdly_dq_bitslip_rst_we;
+reg a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0;
+wire a7ddrphy_rdly_dq_bitslip_re;
+wire a7ddrphy_rdly_dq_bitslip_r;
+wire a7ddrphy_rdly_dq_bitslip_we;
+reg a7ddrphy_rdly_dq_bitslip_w = 1'd0;
+wire [13:0] a7ddrphy_dfi_p0_address;
+wire [2:0] a7ddrphy_dfi_p0_bank;
+wire a7ddrphy_dfi_p0_cas_n;
+wire a7ddrphy_dfi_p0_cs_n;
+wire a7ddrphy_dfi_p0_ras_n;
+wire a7ddrphy_dfi_p0_we_n;
+wire a7ddrphy_dfi_p0_cke;
+wire a7ddrphy_dfi_p0_odt;
+wire a7ddrphy_dfi_p0_reset_n;
+wire a7ddrphy_dfi_p0_act_n;
+wire [31:0] a7ddrphy_dfi_p0_wrdata;
+wire a7ddrphy_dfi_p0_wrdata_en;
+wire [3:0] a7ddrphy_dfi_p0_wrdata_mask;
+wire a7ddrphy_dfi_p0_rddata_en;
+reg [31:0] a7ddrphy_dfi_p0_rddata = 32'd0;
+reg a7ddrphy_dfi_p0_rddata_valid = 1'd0;
+wire [13:0] a7ddrphy_dfi_p1_address;
+wire [2:0] a7ddrphy_dfi_p1_bank;
+wire a7ddrphy_dfi_p1_cas_n;
+wire a7ddrphy_dfi_p1_cs_n;
+wire a7ddrphy_dfi_p1_ras_n;
+wire a7ddrphy_dfi_p1_we_n;
+wire a7ddrphy_dfi_p1_cke;
+wire a7ddrphy_dfi_p1_odt;
+wire a7ddrphy_dfi_p1_reset_n;
+wire a7ddrphy_dfi_p1_act_n;
+wire [31:0] a7ddrphy_dfi_p1_wrdata;
+wire a7ddrphy_dfi_p1_wrdata_en;
+wire [3:0] a7ddrphy_dfi_p1_wrdata_mask;
+wire a7ddrphy_dfi_p1_rddata_en;
+reg [31:0] a7ddrphy_dfi_p1_rddata = 32'd0;
+reg a7ddrphy_dfi_p1_rddata_valid = 1'd0;
+wire [13:0] a7ddrphy_dfi_p2_address;
+wire [2:0] a7ddrphy_dfi_p2_bank;
+wire a7ddrphy_dfi_p2_cas_n;
+wire a7ddrphy_dfi_p2_cs_n;
+wire a7ddrphy_dfi_p2_ras_n;
+wire a7ddrphy_dfi_p2_we_n;
+wire a7ddrphy_dfi_p2_cke;
+wire a7ddrphy_dfi_p2_odt;
+wire a7ddrphy_dfi_p2_reset_n;
+wire a7ddrphy_dfi_p2_act_n;
+wire [31:0] a7ddrphy_dfi_p2_wrdata;
+wire a7ddrphy_dfi_p2_wrdata_en;
+wire [3:0] a7ddrphy_dfi_p2_wrdata_mask;
+wire a7ddrphy_dfi_p2_rddata_en;
+reg [31:0] a7ddrphy_dfi_p2_rddata = 32'd0;
+reg a7ddrphy_dfi_p2_rddata_valid = 1'd0;
+wire [13:0] a7ddrphy_dfi_p3_address;
+wire [2:0] a7ddrphy_dfi_p3_bank;
+wire a7ddrphy_dfi_p3_cas_n;
+wire a7ddrphy_dfi_p3_cs_n;
+wire a7ddrphy_dfi_p3_ras_n;
+wire a7ddrphy_dfi_p3_we_n;
+wire a7ddrphy_dfi_p3_cke;
+wire a7ddrphy_dfi_p3_odt;
+wire a7ddrphy_dfi_p3_reset_n;
+wire a7ddrphy_dfi_p3_act_n;
+wire [31:0] a7ddrphy_dfi_p3_wrdata;
+wire a7ddrphy_dfi_p3_wrdata_en;
+wire [3:0] a7ddrphy_dfi_p3_wrdata_mask;
+wire a7ddrphy_dfi_p3_rddata_en;
+reg [31:0] a7ddrphy_dfi_p3_rddata = 32'd0;
+reg a7ddrphy_dfi_p3_rddata_valid = 1'd0;
+wire a7ddrphy_sd_clk_se_nodelay;
+reg a7ddrphy_dqs_oe = 1'd0;
+reg a7ddrphy_dqs_oe_delayed = 1'd0;
+wire a7ddrphy_dqspattern0;
+wire a7ddrphy_dqspattern1;
+reg [7:0] a7ddrphy_dqspattern_o0 = 8'd0;
+reg [7:0] a7ddrphy_dqspattern_o1 = 8'd0;
+wire [1:0] a7ddrphy_dqs_i;
+wire [1:0] a7ddrphy_dqs_i_delayed;
+wire a7ddrphy_dqs_o_no_delay0;
+wire a7ddrphy_dqs_t0;
+wire a7ddrphy0;
+wire a7ddrphy_dqs_o_no_delay1;
+wire a7ddrphy_dqs_t1;
+wire a7ddrphy1;
+wire a7ddrphy_dq_oe;
+reg a7ddrphy_dq_oe_delayed = 1'd0;
+wire a7ddrphy_dq_o_nodelay0;
+wire a7ddrphy_dq_i_nodelay0;
+wire a7ddrphy_dq_i_delayed0;
+wire a7ddrphy_dq_t0;
+wire [7:0] a7ddrphy_dq_i_data0;
+wire [7:0] a7ddrphy_bitslip0_i;
+reg [7:0] a7ddrphy_bitslip0_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip0_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip0_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay1;
+wire a7ddrphy_dq_i_nodelay1;
+wire a7ddrphy_dq_i_delayed1;
+wire a7ddrphy_dq_t1;
+wire [7:0] a7ddrphy_dq_i_data1;
+wire [7:0] a7ddrphy_bitslip1_i;
+reg [7:0] a7ddrphy_bitslip1_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip1_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip1_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay2;
+wire a7ddrphy_dq_i_nodelay2;
+wire a7ddrphy_dq_i_delayed2;
+wire a7ddrphy_dq_t2;
+wire [7:0] a7ddrphy_dq_i_data2;
+wire [7:0] a7ddrphy_bitslip2_i;
+reg [7:0] a7ddrphy_bitslip2_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip2_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip2_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay3;
+wire a7ddrphy_dq_i_nodelay3;
+wire a7ddrphy_dq_i_delayed3;
+wire a7ddrphy_dq_t3;
+wire [7:0] a7ddrphy_dq_i_data3;
+wire [7:0] a7ddrphy_bitslip3_i;
+reg [7:0] a7ddrphy_bitslip3_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip3_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip3_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay4;
+wire a7ddrphy_dq_i_nodelay4;
+wire a7ddrphy_dq_i_delayed4;
+wire a7ddrphy_dq_t4;
+wire [7:0] a7ddrphy_dq_i_data4;
+wire [7:0] a7ddrphy_bitslip4_i;
+reg [7:0] a7ddrphy_bitslip4_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip4_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip4_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay5;
+wire a7ddrphy_dq_i_nodelay5;
+wire a7ddrphy_dq_i_delayed5;
+wire a7ddrphy_dq_t5;
+wire [7:0] a7ddrphy_dq_i_data5;
+wire [7:0] a7ddrphy_bitslip5_i;
+reg [7:0] a7ddrphy_bitslip5_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip5_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip5_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay6;
+wire a7ddrphy_dq_i_nodelay6;
+wire a7ddrphy_dq_i_delayed6;
+wire a7ddrphy_dq_t6;
+wire [7:0] a7ddrphy_dq_i_data6;
+wire [7:0] a7ddrphy_bitslip6_i;
+reg [7:0] a7ddrphy_bitslip6_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip6_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip6_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay7;
+wire a7ddrphy_dq_i_nodelay7;
+wire a7ddrphy_dq_i_delayed7;
+wire a7ddrphy_dq_t7;
+wire [7:0] a7ddrphy_dq_i_data7;
+wire [7:0] a7ddrphy_bitslip7_i;
+reg [7:0] a7ddrphy_bitslip7_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip7_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip7_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay8;
+wire a7ddrphy_dq_i_nodelay8;
+wire a7ddrphy_dq_i_delayed8;
+wire a7ddrphy_dq_t8;
+wire [7:0] a7ddrphy_dq_i_data8;
+wire [7:0] a7ddrphy_bitslip8_i;
+reg [7:0] a7ddrphy_bitslip8_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip8_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip8_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay9;
+wire a7ddrphy_dq_i_nodelay9;
+wire a7ddrphy_dq_i_delayed9;
+wire a7ddrphy_dq_t9;
+wire [7:0] a7ddrphy_dq_i_data9;
+wire [7:0] a7ddrphy_bitslip9_i;
+reg [7:0] a7ddrphy_bitslip9_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip9_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip9_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay10;
+wire a7ddrphy_dq_i_nodelay10;
+wire a7ddrphy_dq_i_delayed10;
+wire a7ddrphy_dq_t10;
+wire [7:0] a7ddrphy_dq_i_data10;
+wire [7:0] a7ddrphy_bitslip10_i;
+reg [7:0] a7ddrphy_bitslip10_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip10_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip10_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay11;
+wire a7ddrphy_dq_i_nodelay11;
+wire a7ddrphy_dq_i_delayed11;
+wire a7ddrphy_dq_t11;
+wire [7:0] a7ddrphy_dq_i_data11;
+wire [7:0] a7ddrphy_bitslip11_i;
+reg [7:0] a7ddrphy_bitslip11_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip11_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip11_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay12;
+wire a7ddrphy_dq_i_nodelay12;
+wire a7ddrphy_dq_i_delayed12;
+wire a7ddrphy_dq_t12;
+wire [7:0] a7ddrphy_dq_i_data12;
+wire [7:0] a7ddrphy_bitslip12_i;
+reg [7:0] a7ddrphy_bitslip12_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip12_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip12_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay13;
+wire a7ddrphy_dq_i_nodelay13;
+wire a7ddrphy_dq_i_delayed13;
+wire a7ddrphy_dq_t13;
+wire [7:0] a7ddrphy_dq_i_data13;
+wire [7:0] a7ddrphy_bitslip13_i;
+reg [7:0] a7ddrphy_bitslip13_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip13_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip13_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay14;
+wire a7ddrphy_dq_i_nodelay14;
+wire a7ddrphy_dq_i_delayed14;
+wire a7ddrphy_dq_t14;
+wire [7:0] a7ddrphy_dq_i_data14;
+wire [7:0] a7ddrphy_bitslip14_i;
+reg [7:0] a7ddrphy_bitslip14_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip14_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip14_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay15;
+wire a7ddrphy_dq_i_nodelay15;
+wire a7ddrphy_dq_i_delayed15;
+wire a7ddrphy_dq_t15;
+wire [7:0] a7ddrphy_dq_i_data15;
+wire [7:0] a7ddrphy_bitslip15_i;
+reg [7:0] a7ddrphy_bitslip15_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip15_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip15_r = 16'd0;
+wire [7:0] a7ddrphy_rddata_en;
+reg [7:0] a7ddrphy_rddata_en_last = 8'd0;
+wire [3:0] a7ddrphy_wrdata_en;
+reg [3:0] a7ddrphy_wrdata_en_last = 4'd0;
+wire [13:0] litedramcore_inti_p0_address;
+wire [2:0] litedramcore_inti_p0_bank;
+reg litedramcore_inti_p0_cas_n = 1'd1;
+reg litedramcore_inti_p0_cs_n = 1'd1;
+reg litedramcore_inti_p0_ras_n = 1'd1;
+reg litedramcore_inti_p0_we_n = 1'd1;
+wire litedramcore_inti_p0_cke;
+wire litedramcore_inti_p0_odt;
+wire litedramcore_inti_p0_reset_n;
+reg litedramcore_inti_p0_act_n = 1'd1;
+wire [31:0] litedramcore_inti_p0_wrdata;
+wire litedramcore_inti_p0_wrdata_en;
+wire [3:0] litedramcore_inti_p0_wrdata_mask;
+wire litedramcore_inti_p0_rddata_en;
+reg [31:0] litedramcore_inti_p0_rddata = 32'd0;
+reg litedramcore_inti_p0_rddata_valid = 1'd0;
+wire [13:0] litedramcore_inti_p1_address;
+wire [2:0] litedramcore_inti_p1_bank;
+reg litedramcore_inti_p1_cas_n = 1'd1;
+reg litedramcore_inti_p1_cs_n = 1'd1;
+reg litedramcore_inti_p1_ras_n = 1'd1;
+reg litedramcore_inti_p1_we_n = 1'd1;
+wire litedramcore_inti_p1_cke;
+wire litedramcore_inti_p1_odt;
+wire litedramcore_inti_p1_reset_n;
+reg litedramcore_inti_p1_act_n = 1'd1;
+wire [31:0] litedramcore_inti_p1_wrdata;
+wire litedramcore_inti_p1_wrdata_en;
+wire [3:0] litedramcore_inti_p1_wrdata_mask;
+wire litedramcore_inti_p1_rddata_en;
+reg [31:0] litedramcore_inti_p1_rddata = 32'd0;
+reg litedramcore_inti_p1_rddata_valid = 1'd0;
+wire [13:0] litedramcore_inti_p2_address;
+wire [2:0] litedramcore_inti_p2_bank;
+reg litedramcore_inti_p2_cas_n = 1'd1;
+reg litedramcore_inti_p2_cs_n = 1'd1;
+reg litedramcore_inti_p2_ras_n = 1'd1;
+reg litedramcore_inti_p2_we_n = 1'd1;
+wire litedramcore_inti_p2_cke;
+wire litedramcore_inti_p2_odt;
+wire litedramcore_inti_p2_reset_n;
+reg litedramcore_inti_p2_act_n = 1'd1;
+wire [31:0] litedramcore_inti_p2_wrdata;
+wire litedramcore_inti_p2_wrdata_en;
+wire [3:0] litedramcore_inti_p2_wrdata_mask;
+wire litedramcore_inti_p2_rddata_en;
+reg [31:0] litedramcore_inti_p2_rddata = 32'd0;
+reg litedramcore_inti_p2_rddata_valid = 1'd0;
+wire [13:0] litedramcore_inti_p3_address;
+wire [2:0] litedramcore_inti_p3_bank;
+reg litedramcore_inti_p3_cas_n = 1'd1;
+reg litedramcore_inti_p3_cs_n = 1'd1;
+reg litedramcore_inti_p3_ras_n = 1'd1;
+reg litedramcore_inti_p3_we_n = 1'd1;
+wire litedramcore_inti_p3_cke;
+wire litedramcore_inti_p3_odt;
+wire litedramcore_inti_p3_reset_n;
+reg litedramcore_inti_p3_act_n = 1'd1;
+wire [31:0] litedramcore_inti_p3_wrdata;
+wire litedramcore_inti_p3_wrdata_en;
+wire [3:0] litedramcore_inti_p3_wrdata_mask;
+wire litedramcore_inti_p3_rddata_en;
+reg [31:0] litedramcore_inti_p3_rddata = 32'd0;
+reg litedramcore_inti_p3_rddata_valid = 1'd0;
+wire [13:0] litedramcore_slave_p0_address;
+wire [2:0] litedramcore_slave_p0_bank;
+wire litedramcore_slave_p0_cas_n;
+wire litedramcore_slave_p0_cs_n;
+wire litedramcore_slave_p0_ras_n;
+wire litedramcore_slave_p0_we_n;
+wire litedramcore_slave_p0_cke;
+wire litedramcore_slave_p0_odt;
+wire litedramcore_slave_p0_reset_n;
+wire litedramcore_slave_p0_act_n;
+wire [31:0] litedramcore_slave_p0_wrdata;
+wire litedramcore_slave_p0_wrdata_en;
+wire [3:0] litedramcore_slave_p0_wrdata_mask;
+wire litedramcore_slave_p0_rddata_en;
+reg [31:0] litedramcore_slave_p0_rddata = 32'd0;
+reg litedramcore_slave_p0_rddata_valid = 1'd0;
+wire [13:0] litedramcore_slave_p1_address;
+wire [2:0] litedramcore_slave_p1_bank;
+wire litedramcore_slave_p1_cas_n;
+wire litedramcore_slave_p1_cs_n;
+wire litedramcore_slave_p1_ras_n;
+wire litedramcore_slave_p1_we_n;
+wire litedramcore_slave_p1_cke;
+wire litedramcore_slave_p1_odt;
+wire litedramcore_slave_p1_reset_n;
+wire litedramcore_slave_p1_act_n;
+wire [31:0] litedramcore_slave_p1_wrdata;
+wire litedramcore_slave_p1_wrdata_en;
+wire [3:0] litedramcore_slave_p1_wrdata_mask;
+wire litedramcore_slave_p1_rddata_en;
+reg [31:0] litedramcore_slave_p1_rddata = 32'd0;
+reg litedramcore_slave_p1_rddata_valid = 1'd0;
+wire [13:0] litedramcore_slave_p2_address;
+wire [2:0] litedramcore_slave_p2_bank;
+wire litedramcore_slave_p2_cas_n;
+wire litedramcore_slave_p2_cs_n;
+wire litedramcore_slave_p2_ras_n;
+wire litedramcore_slave_p2_we_n;
+wire litedramcore_slave_p2_cke;
+wire litedramcore_slave_p2_odt;
+wire litedramcore_slave_p2_reset_n;
+wire litedramcore_slave_p2_act_n;
+wire [31:0] litedramcore_slave_p2_wrdata;
+wire litedramcore_slave_p2_wrdata_en;
+wire [3:0] litedramcore_slave_p2_wrdata_mask;
+wire litedramcore_slave_p2_rddata_en;
+reg [31:0] litedramcore_slave_p2_rddata = 32'd0;
+reg litedramcore_slave_p2_rddata_valid = 1'd0;
+wire [13:0] litedramcore_slave_p3_address;
+wire [2:0] litedramcore_slave_p3_bank;
+wire litedramcore_slave_p3_cas_n;
+wire litedramcore_slave_p3_cs_n;
+wire litedramcore_slave_p3_ras_n;
+wire litedramcore_slave_p3_we_n;
+wire litedramcore_slave_p3_cke;
+wire litedramcore_slave_p3_odt;
+wire litedramcore_slave_p3_reset_n;
+wire litedramcore_slave_p3_act_n;
+wire [31:0] litedramcore_slave_p3_wrdata;
+wire litedramcore_slave_p3_wrdata_en;
+wire [3:0] litedramcore_slave_p3_wrdata_mask;
+wire litedramcore_slave_p3_rddata_en;
+reg [31:0] litedramcore_slave_p3_rddata = 32'd0;
+reg litedramcore_slave_p3_rddata_valid = 1'd0;
+reg [13:0] litedramcore_master_p0_address = 14'd0;
+reg [2:0] litedramcore_master_p0_bank = 3'd0;
+reg litedramcore_master_p0_cas_n = 1'd1;
+reg litedramcore_master_p0_cs_n = 1'd1;
+reg litedramcore_master_p0_ras_n = 1'd1;
+reg litedramcore_master_p0_we_n = 1'd1;
+reg litedramcore_master_p0_cke = 1'd0;
+reg litedramcore_master_p0_odt = 1'd0;
+reg litedramcore_master_p0_reset_n = 1'd0;
+reg litedramcore_master_p0_act_n = 1'd1;
+reg [31:0] litedramcore_master_p0_wrdata = 32'd0;
+reg litedramcore_master_p0_wrdata_en = 1'd0;
+reg [3:0] litedramcore_master_p0_wrdata_mask = 4'd0;
+reg litedramcore_master_p0_rddata_en = 1'd0;
+wire [31:0] litedramcore_master_p0_rddata;
+wire litedramcore_master_p0_rddata_valid;
+reg [13:0] litedramcore_master_p1_address = 14'd0;
+reg [2:0] litedramcore_master_p1_bank = 3'd0;
+reg litedramcore_master_p1_cas_n = 1'd1;
+reg litedramcore_master_p1_cs_n = 1'd1;
+reg litedramcore_master_p1_ras_n = 1'd1;
+reg litedramcore_master_p1_we_n = 1'd1;
+reg litedramcore_master_p1_cke = 1'd0;
+reg litedramcore_master_p1_odt = 1'd0;
+reg litedramcore_master_p1_reset_n = 1'd0;
+reg litedramcore_master_p1_act_n = 1'd1;
+reg [31:0] litedramcore_master_p1_wrdata = 32'd0;
+reg litedramcore_master_p1_wrdata_en = 1'd0;
+reg [3:0] litedramcore_master_p1_wrdata_mask = 4'd0;
+reg litedramcore_master_p1_rddata_en = 1'd0;
+wire [31:0] litedramcore_master_p1_rddata;
+wire litedramcore_master_p1_rddata_valid;
+reg [13:0] litedramcore_master_p2_address = 14'd0;
+reg [2:0] litedramcore_master_p2_bank = 3'd0;
+reg litedramcore_master_p2_cas_n = 1'd1;
+reg litedramcore_master_p2_cs_n = 1'd1;
+reg litedramcore_master_p2_ras_n = 1'd1;
+reg litedramcore_master_p2_we_n = 1'd1;
+reg litedramcore_master_p2_cke = 1'd0;
+reg litedramcore_master_p2_odt = 1'd0;
+reg litedramcore_master_p2_reset_n = 1'd0;
+reg litedramcore_master_p2_act_n = 1'd1;
+reg [31:0] litedramcore_master_p2_wrdata = 32'd0;
+reg litedramcore_master_p2_wrdata_en = 1'd0;
+reg [3:0] litedramcore_master_p2_wrdata_mask = 4'd0;
+reg litedramcore_master_p2_rddata_en = 1'd0;
+wire [31:0] litedramcore_master_p2_rddata;
+wire litedramcore_master_p2_rddata_valid;
+reg [13:0] litedramcore_master_p3_address = 14'd0;
+reg [2:0] litedramcore_master_p3_bank = 3'd0;
+reg litedramcore_master_p3_cas_n = 1'd1;
+reg litedramcore_master_p3_cs_n = 1'd1;
+reg litedramcore_master_p3_ras_n = 1'd1;
+reg litedramcore_master_p3_we_n = 1'd1;
+reg litedramcore_master_p3_cke = 1'd0;
+reg litedramcore_master_p3_odt = 1'd0;
+reg litedramcore_master_p3_reset_n = 1'd0;
+reg litedramcore_master_p3_act_n = 1'd1;
+reg [31:0] litedramcore_master_p3_wrdata = 32'd0;
+reg litedramcore_master_p3_wrdata_en = 1'd0;
+reg [3:0] litedramcore_master_p3_wrdata_mask = 4'd0;
+reg litedramcore_master_p3_rddata_en = 1'd0;
+wire [31:0] litedramcore_master_p3_rddata;
+wire litedramcore_master_p3_rddata_valid;
+reg [3:0] litedramcore_storage = 4'd0;
+reg litedramcore_re = 1'd0;
+reg [5:0] litedramcore_phaseinjector0_command_storage = 6'd0;
+reg litedramcore_phaseinjector0_command_re = 1'd0;
+wire litedramcore_phaseinjector0_command_issue_re;
+wire litedramcore_phaseinjector0_command_issue_r;
+wire litedramcore_phaseinjector0_command_issue_we;
+reg litedramcore_phaseinjector0_command_issue_w = 1'd0;
+reg [13:0] litedramcore_phaseinjector0_address_storage = 14'd0;
+reg litedramcore_phaseinjector0_address_re = 1'd0;
+reg [2:0] litedramcore_phaseinjector0_baddress_storage = 3'd0;
+reg litedramcore_phaseinjector0_baddress_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector0_wrdata_storage = 32'd0;
+reg litedramcore_phaseinjector0_wrdata_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector0_status = 32'd0;
+wire litedramcore_phaseinjector0_we;
+reg [5:0] litedramcore_phaseinjector1_command_storage = 6'd0;
+reg litedramcore_phaseinjector1_command_re = 1'd0;
+wire litedramcore_phaseinjector1_command_issue_re;
+wire litedramcore_phaseinjector1_command_issue_r;
+wire litedramcore_phaseinjector1_command_issue_we;
+reg litedramcore_phaseinjector1_command_issue_w = 1'd0;
+reg [13:0] litedramcore_phaseinjector1_address_storage = 14'd0;
+reg litedramcore_phaseinjector1_address_re = 1'd0;
+reg [2:0] litedramcore_phaseinjector1_baddress_storage = 3'd0;
+reg litedramcore_phaseinjector1_baddress_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector1_wrdata_storage = 32'd0;
+reg litedramcore_phaseinjector1_wrdata_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector1_status = 32'd0;
+wire litedramcore_phaseinjector1_we;
+reg [5:0] litedramcore_phaseinjector2_command_storage = 6'd0;
+reg litedramcore_phaseinjector2_command_re = 1'd0;
+wire litedramcore_phaseinjector2_command_issue_re;
+wire litedramcore_phaseinjector2_command_issue_r;
+wire litedramcore_phaseinjector2_command_issue_we;
+reg litedramcore_phaseinjector2_command_issue_w = 1'd0;
+reg [13:0] litedramcore_phaseinjector2_address_storage = 14'd0;
+reg litedramcore_phaseinjector2_address_re = 1'd0;
+reg [2:0] litedramcore_phaseinjector2_baddress_storage = 3'd0;
+reg litedramcore_phaseinjector2_baddress_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector2_wrdata_storage = 32'd0;
+reg litedramcore_phaseinjector2_wrdata_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector2_status = 32'd0;
+wire litedramcore_phaseinjector2_we;
+reg [5:0] litedramcore_phaseinjector3_command_storage = 6'd0;
+reg litedramcore_phaseinjector3_command_re = 1'd0;
+wire litedramcore_phaseinjector3_command_issue_re;
+wire litedramcore_phaseinjector3_command_issue_r;
+wire litedramcore_phaseinjector3_command_issue_we;
+reg litedramcore_phaseinjector3_command_issue_w = 1'd0;
+reg [13:0] litedramcore_phaseinjector3_address_storage = 14'd0;
+reg litedramcore_phaseinjector3_address_re = 1'd0;
+reg [2:0] litedramcore_phaseinjector3_baddress_storage = 3'd0;
+reg litedramcore_phaseinjector3_baddress_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector3_wrdata_storage = 32'd0;
+reg litedramcore_phaseinjector3_wrdata_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector3_status = 32'd0;
+wire litedramcore_phaseinjector3_we;
+wire litedramcore_interface_bank0_valid;
+wire litedramcore_interface_bank0_ready;
+wire litedramcore_interface_bank0_we;
+wire [20:0] litedramcore_interface_bank0_addr;
+wire litedramcore_interface_bank0_lock;
+wire litedramcore_interface_bank0_wdata_ready;
+wire litedramcore_interface_bank0_rdata_valid;
+wire litedramcore_interface_bank1_valid;
+wire litedramcore_interface_bank1_ready;
+wire litedramcore_interface_bank1_we;
+wire [20:0] litedramcore_interface_bank1_addr;
+wire litedramcore_interface_bank1_lock;
+wire litedramcore_interface_bank1_wdata_ready;
+wire litedramcore_interface_bank1_rdata_valid;
+wire litedramcore_interface_bank2_valid;
+wire litedramcore_interface_bank2_ready;
+wire litedramcore_interface_bank2_we;
+wire [20:0] litedramcore_interface_bank2_addr;
+wire litedramcore_interface_bank2_lock;
+wire litedramcore_interface_bank2_wdata_ready;
+wire litedramcore_interface_bank2_rdata_valid;
+wire litedramcore_interface_bank3_valid;
+wire litedramcore_interface_bank3_ready;
+wire litedramcore_interface_bank3_we;
+wire [20:0] litedramcore_interface_bank3_addr;
+wire litedramcore_interface_bank3_lock;
+wire litedramcore_interface_bank3_wdata_ready;
+wire litedramcore_interface_bank3_rdata_valid;
+wire litedramcore_interface_bank4_valid;
+wire litedramcore_interface_bank4_ready;
+wire litedramcore_interface_bank4_we;
+wire [20:0] litedramcore_interface_bank4_addr;
+wire litedramcore_interface_bank4_lock;
+wire litedramcore_interface_bank4_wdata_ready;
+wire litedramcore_interface_bank4_rdata_valid;
+wire litedramcore_interface_bank5_valid;
+wire litedramcore_interface_bank5_ready;
+wire litedramcore_interface_bank5_we;
+wire [20:0] litedramcore_interface_bank5_addr;
+wire litedramcore_interface_bank5_lock;
+wire litedramcore_interface_bank5_wdata_ready;
+wire litedramcore_interface_bank5_rdata_valid;
+wire litedramcore_interface_bank6_valid;
+wire litedramcore_interface_bank6_ready;
+wire litedramcore_interface_bank6_we;
+wire [20:0] litedramcore_interface_bank6_addr;
+wire litedramcore_interface_bank6_lock;
+wire litedramcore_interface_bank6_wdata_ready;
+wire litedramcore_interface_bank6_rdata_valid;
+wire litedramcore_interface_bank7_valid;
+wire litedramcore_interface_bank7_ready;
+wire litedramcore_interface_bank7_we;
+wire [20:0] litedramcore_interface_bank7_addr;
+wire litedramcore_interface_bank7_lock;
+wire litedramcore_interface_bank7_wdata_ready;
+wire litedramcore_interface_bank7_rdata_valid;
+reg [127:0] litedramcore_interface_wdata = 128'd0;
+reg [15:0] litedramcore_interface_wdata_we = 16'd0;
+wire [127:0] litedramcore_interface_rdata;
+reg [13:0] litedramcore_dfi_p0_address = 14'd0;
+reg [2:0] litedramcore_dfi_p0_bank = 3'd0;
+reg litedramcore_dfi_p0_cas_n = 1'd1;
+reg litedramcore_dfi_p0_cs_n = 1'd1;
+reg litedramcore_dfi_p0_ras_n = 1'd1;
+reg litedramcore_dfi_p0_we_n = 1'd1;
+wire litedramcore_dfi_p0_cke;
+wire litedramcore_dfi_p0_odt;
+wire litedramcore_dfi_p0_reset_n;
+reg litedramcore_dfi_p0_act_n = 1'd1;
+wire [31:0] litedramcore_dfi_p0_wrdata;
+reg litedramcore_dfi_p0_wrdata_en = 1'd0;
+wire [3:0] litedramcore_dfi_p0_wrdata_mask;
+reg litedramcore_dfi_p0_rddata_en = 1'd0;
+wire [31:0] litedramcore_dfi_p0_rddata;
+wire litedramcore_dfi_p0_rddata_valid;
+reg [13:0] litedramcore_dfi_p1_address = 14'd0;
+reg [2:0] litedramcore_dfi_p1_bank = 3'd0;
+reg litedramcore_dfi_p1_cas_n = 1'd1;
+reg litedramcore_dfi_p1_cs_n = 1'd1;
+reg litedramcore_dfi_p1_ras_n = 1'd1;
+reg litedramcore_dfi_p1_we_n = 1'd1;
+wire litedramcore_dfi_p1_cke;
+wire litedramcore_dfi_p1_odt;
+wire litedramcore_dfi_p1_reset_n;
+reg litedramcore_dfi_p1_act_n = 1'd1;
+wire [31:0] litedramcore_dfi_p1_wrdata;
+reg litedramcore_dfi_p1_wrdata_en = 1'd0;
+wire [3:0] litedramcore_dfi_p1_wrdata_mask;
+reg litedramcore_dfi_p1_rddata_en = 1'd0;
+wire [31:0] litedramcore_dfi_p1_rddata;
+wire litedramcore_dfi_p1_rddata_valid;
+reg [13:0] litedramcore_dfi_p2_address = 14'd0;
+reg [2:0] litedramcore_dfi_p2_bank = 3'd0;
+reg litedramcore_dfi_p2_cas_n = 1'd1;
+reg litedramcore_dfi_p2_cs_n = 1'd1;
+reg litedramcore_dfi_p2_ras_n = 1'd1;
+reg litedramcore_dfi_p2_we_n = 1'd1;
+wire litedramcore_dfi_p2_cke;
+wire litedramcore_dfi_p2_odt;
+wire litedramcore_dfi_p2_reset_n;
+reg litedramcore_dfi_p2_act_n = 1'd1;
+wire [31:0] litedramcore_dfi_p2_wrdata;
+reg litedramcore_dfi_p2_wrdata_en = 1'd0;
+wire [3:0] litedramcore_dfi_p2_wrdata_mask;
+reg litedramcore_dfi_p2_rddata_en = 1'd0;
+wire [31:0] litedramcore_dfi_p2_rddata;
+wire litedramcore_dfi_p2_rddata_valid;
+reg [13:0] litedramcore_dfi_p3_address = 14'd0;
+reg [2:0] litedramcore_dfi_p3_bank = 3'd0;
+reg litedramcore_dfi_p3_cas_n = 1'd1;
+reg litedramcore_dfi_p3_cs_n = 1'd1;
+reg litedramcore_dfi_p3_ras_n = 1'd1;
+reg litedramcore_dfi_p3_we_n = 1'd1;
+wire litedramcore_dfi_p3_cke;
+wire litedramcore_dfi_p3_odt;
+wire litedramcore_dfi_p3_reset_n;
+reg litedramcore_dfi_p3_act_n = 1'd1;
+wire [31:0] litedramcore_dfi_p3_wrdata;
+reg litedramcore_dfi_p3_wrdata_en = 1'd0;
+wire [3:0] litedramcore_dfi_p3_wrdata_mask;
+reg litedramcore_dfi_p3_rddata_en = 1'd0;
+wire [31:0] litedramcore_dfi_p3_rddata;
+wire litedramcore_dfi_p3_rddata_valid;
+reg litedramcore_cmd_valid = 1'd0;
+reg litedramcore_cmd_ready = 1'd0;
+reg litedramcore_cmd_last = 1'd0;
+reg [13:0] litedramcore_cmd_payload_a = 14'd0;
+reg [2:0] litedramcore_cmd_payload_ba = 3'd0;
+reg litedramcore_cmd_payload_cas = 1'd0;
+reg litedramcore_cmd_payload_ras = 1'd0;
+reg litedramcore_cmd_payload_we = 1'd0;
+reg litedramcore_cmd_payload_is_read = 1'd0;
+reg litedramcore_cmd_payload_is_write = 1'd0;
+wire litedramcore_wants_refresh;
+wire litedramcore_wants_zqcs;
+wire litedramcore_timer_wait;
+wire litedramcore_timer_done0;
+wire [9:0] litedramcore_timer_count0;
+wire litedramcore_timer_done1;
+reg [9:0] litedramcore_timer_count1 = 10'd781;
+wire litedramcore_postponer_req_i;
+reg litedramcore_postponer_req_o = 1'd0;
+reg litedramcore_postponer_count = 1'd0;
+reg litedramcore_sequencer_start0 = 1'd0;
+wire litedramcore_sequencer_done0;
+wire litedramcore_sequencer_start1;
+reg litedramcore_sequencer_done1 = 1'd0;
+reg [5:0] litedramcore_sequencer_counter = 6'd0;
+reg litedramcore_sequencer_count = 1'd0;
+wire litedramcore_zqcs_timer_wait;
+wire litedramcore_zqcs_timer_done0;
+wire [26:0] litedramcore_zqcs_timer_count0;
+wire litedramcore_zqcs_timer_done1;
+reg [26:0] litedramcore_zqcs_timer_count1 = 27'd99999999;
+reg litedramcore_zqcs_executer_start = 1'd0;
+reg litedramcore_zqcs_executer_done = 1'd0;
+reg [4:0] litedramcore_zqcs_executer_counter = 5'd0;
+wire litedramcore_bankmachine0_req_valid;
+wire litedramcore_bankmachine0_req_ready;
+wire litedramcore_bankmachine0_req_we;
+wire [20:0] litedramcore_bankmachine0_req_addr;
+wire litedramcore_bankmachine0_req_lock;
+reg litedramcore_bankmachine0_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine0_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine0_refresh_req;
+reg litedramcore_bankmachine0_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine0_cmd_valid = 1'd0;
+reg litedramcore_bankmachine0_cmd_ready = 1'd0;
+reg [13:0] litedramcore_bankmachine0_cmd_payload_a = 14'd0;
+wire [2:0] litedramcore_bankmachine0_cmd_payload_ba;
+reg litedramcore_bankmachine0_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine0_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine0_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine0_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine0_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine0_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine0_auto_precharge = 1'd0;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
+wire [20:0] litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we;
+wire [20:0] litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
+wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
+wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
+reg [4:0] litedramcore_bankmachine0_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine0_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we;
+wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr;
+wire [23:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [20:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [20:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine0_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine0_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine0_cmd_buffer_sink_first;
+wire litedramcore_bankmachine0_cmd_buffer_sink_last;
+wire litedramcore_bankmachine0_cmd_buffer_sink_payload_we;
+wire [20:0] litedramcore_bankmachine0_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine0_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine0_cmd_buffer_source_ready;
+reg litedramcore_bankmachine0_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine0_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine0_cmd_buffer_source_payload_we = 1'd0;
+reg [20:0] litedramcore_bankmachine0_cmd_buffer_source_payload_addr = 21'd0;
+reg [13:0] litedramcore_bankmachine0_row = 14'd0;
+reg litedramcore_bankmachine0_row_opened = 1'd0;
+wire litedramcore_bankmachine0_row_hit;
+reg litedramcore_bankmachine0_row_open = 1'd0;
+reg litedramcore_bankmachine0_row_close = 1'd0;
+reg litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine0_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine0_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine0_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine0_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine0_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine0_trccon_count = 3'd0;
+wire litedramcore_bankmachine0_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine0_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine0_trascon_count = 3'd0;
+wire litedramcore_bankmachine1_req_valid;
+wire litedramcore_bankmachine1_req_ready;
+wire litedramcore_bankmachine1_req_we;
+wire [20:0] litedramcore_bankmachine1_req_addr;
+wire litedramcore_bankmachine1_req_lock;
+reg litedramcore_bankmachine1_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine1_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine1_refresh_req;
+reg litedramcore_bankmachine1_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine1_cmd_valid = 1'd0;
+reg litedramcore_bankmachine1_cmd_ready = 1'd0;
+reg [13:0] litedramcore_bankmachine1_cmd_payload_a = 14'd0;
+wire [2:0] litedramcore_bankmachine1_cmd_payload_ba;
+reg litedramcore_bankmachine1_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine1_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine1_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine1_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine1_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine1_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine1_auto_precharge = 1'd0;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
+wire [20:0] litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we;
+wire [20:0] litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
+wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
+wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
+reg [4:0] litedramcore_bankmachine1_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine1_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we;
+wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr;
+wire [23:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [20:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [20:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine1_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine1_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine1_cmd_buffer_sink_first;
+wire litedramcore_bankmachine1_cmd_buffer_sink_last;
+wire litedramcore_bankmachine1_cmd_buffer_sink_payload_we;
+wire [20:0] litedramcore_bankmachine1_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine1_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine1_cmd_buffer_source_ready;
+reg litedramcore_bankmachine1_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine1_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine1_cmd_buffer_source_payload_we = 1'd0;
+reg [20:0] litedramcore_bankmachine1_cmd_buffer_source_payload_addr = 21'd0;
+reg [13:0] litedramcore_bankmachine1_row = 14'd0;
+reg litedramcore_bankmachine1_row_opened = 1'd0;
+wire litedramcore_bankmachine1_row_hit;
+reg litedramcore_bankmachine1_row_open = 1'd0;
+reg litedramcore_bankmachine1_row_close = 1'd0;
+reg litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine1_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine1_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine1_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine1_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine1_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine1_trccon_count = 3'd0;
+wire litedramcore_bankmachine1_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine1_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine1_trascon_count = 3'd0;
+wire litedramcore_bankmachine2_req_valid;
+wire litedramcore_bankmachine2_req_ready;
+wire litedramcore_bankmachine2_req_we;
+wire [20:0] litedramcore_bankmachine2_req_addr;
+wire litedramcore_bankmachine2_req_lock;
+reg litedramcore_bankmachine2_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine2_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine2_refresh_req;
+reg litedramcore_bankmachine2_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine2_cmd_valid = 1'd0;
+reg litedramcore_bankmachine2_cmd_ready = 1'd0;
+reg [13:0] litedramcore_bankmachine2_cmd_payload_a = 14'd0;
+wire [2:0] litedramcore_bankmachine2_cmd_payload_ba;
+reg litedramcore_bankmachine2_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine2_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine2_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine2_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine2_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine2_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine2_auto_precharge = 1'd0;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
+wire [20:0] litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we;
+wire [20:0] litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
+wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
+wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
+reg [4:0] litedramcore_bankmachine2_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine2_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we;
+wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr;
+wire [23:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [20:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [20:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine2_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine2_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine2_cmd_buffer_sink_first;
+wire litedramcore_bankmachine2_cmd_buffer_sink_last;
+wire litedramcore_bankmachine2_cmd_buffer_sink_payload_we;
+wire [20:0] litedramcore_bankmachine2_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine2_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine2_cmd_buffer_source_ready;
+reg litedramcore_bankmachine2_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine2_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine2_cmd_buffer_source_payload_we = 1'd0;
+reg [20:0] litedramcore_bankmachine2_cmd_buffer_source_payload_addr = 21'd0;
+reg [13:0] litedramcore_bankmachine2_row = 14'd0;
+reg litedramcore_bankmachine2_row_opened = 1'd0;
+wire litedramcore_bankmachine2_row_hit;
+reg litedramcore_bankmachine2_row_open = 1'd0;
+reg litedramcore_bankmachine2_row_close = 1'd0;
+reg litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine2_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine2_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine2_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine2_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine2_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine2_trccon_count = 3'd0;
+wire litedramcore_bankmachine2_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine2_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine2_trascon_count = 3'd0;
+wire litedramcore_bankmachine3_req_valid;
+wire litedramcore_bankmachine3_req_ready;
+wire litedramcore_bankmachine3_req_we;
+wire [20:0] litedramcore_bankmachine3_req_addr;
+wire litedramcore_bankmachine3_req_lock;
+reg litedramcore_bankmachine3_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine3_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine3_refresh_req;
+reg litedramcore_bankmachine3_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine3_cmd_valid = 1'd0;
+reg litedramcore_bankmachine3_cmd_ready = 1'd0;
+reg [13:0] litedramcore_bankmachine3_cmd_payload_a = 14'd0;
+wire [2:0] litedramcore_bankmachine3_cmd_payload_ba;
+reg litedramcore_bankmachine3_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine3_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine3_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine3_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine3_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine3_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine3_auto_precharge = 1'd0;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
+wire [20:0] litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we;
+wire [20:0] litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
+wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
+wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
+reg [4:0] litedramcore_bankmachine3_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine3_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we;
+wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr;
+wire [23:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [20:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [20:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine3_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine3_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine3_cmd_buffer_sink_first;
+wire litedramcore_bankmachine3_cmd_buffer_sink_last;
+wire litedramcore_bankmachine3_cmd_buffer_sink_payload_we;
+wire [20:0] litedramcore_bankmachine3_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine3_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine3_cmd_buffer_source_ready;
+reg litedramcore_bankmachine3_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine3_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine3_cmd_buffer_source_payload_we = 1'd0;
+reg [20:0] litedramcore_bankmachine3_cmd_buffer_source_payload_addr = 21'd0;
+reg [13:0] litedramcore_bankmachine3_row = 14'd0;
+reg litedramcore_bankmachine3_row_opened = 1'd0;
+wire litedramcore_bankmachine3_row_hit;
+reg litedramcore_bankmachine3_row_open = 1'd0;
+reg litedramcore_bankmachine3_row_close = 1'd0;
+reg litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine3_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine3_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine3_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine3_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine3_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine3_trccon_count = 3'd0;
+wire litedramcore_bankmachine3_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine3_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine3_trascon_count = 3'd0;
+wire litedramcore_bankmachine4_req_valid;
+wire litedramcore_bankmachine4_req_ready;
+wire litedramcore_bankmachine4_req_we;
+wire [20:0] litedramcore_bankmachine4_req_addr;
+wire litedramcore_bankmachine4_req_lock;
+reg litedramcore_bankmachine4_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine4_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine4_refresh_req;
+reg litedramcore_bankmachine4_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine4_cmd_valid = 1'd0;
+reg litedramcore_bankmachine4_cmd_ready = 1'd0;
+reg [13:0] litedramcore_bankmachine4_cmd_payload_a = 14'd0;
+wire [2:0] litedramcore_bankmachine4_cmd_payload_ba;
+reg litedramcore_bankmachine4_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine4_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine4_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine4_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine4_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine4_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine4_auto_precharge = 1'd0;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
+wire [20:0] litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we;
+wire [20:0] litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
+wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
+wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
+reg [4:0] litedramcore_bankmachine4_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine4_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we;
+wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr;
+wire [23:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [20:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [20:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine4_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine4_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine4_cmd_buffer_sink_first;
+wire litedramcore_bankmachine4_cmd_buffer_sink_last;
+wire litedramcore_bankmachine4_cmd_buffer_sink_payload_we;
+wire [20:0] litedramcore_bankmachine4_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine4_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine4_cmd_buffer_source_ready;
+reg litedramcore_bankmachine4_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine4_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine4_cmd_buffer_source_payload_we = 1'd0;
+reg [20:0] litedramcore_bankmachine4_cmd_buffer_source_payload_addr = 21'd0;
+reg [13:0] litedramcore_bankmachine4_row = 14'd0;
+reg litedramcore_bankmachine4_row_opened = 1'd0;
+wire litedramcore_bankmachine4_row_hit;
+reg litedramcore_bankmachine4_row_open = 1'd0;
+reg litedramcore_bankmachine4_row_close = 1'd0;
+reg litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine4_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine4_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine4_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine4_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine4_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine4_trccon_count = 3'd0;
+wire litedramcore_bankmachine4_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine4_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine4_trascon_count = 3'd0;
+wire litedramcore_bankmachine5_req_valid;
+wire litedramcore_bankmachine5_req_ready;
+wire litedramcore_bankmachine5_req_we;
+wire [20:0] litedramcore_bankmachine5_req_addr;
+wire litedramcore_bankmachine5_req_lock;
+reg litedramcore_bankmachine5_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine5_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine5_refresh_req;
+reg litedramcore_bankmachine5_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine5_cmd_valid = 1'd0;
+reg litedramcore_bankmachine5_cmd_ready = 1'd0;
+reg [13:0] litedramcore_bankmachine5_cmd_payload_a = 14'd0;
+wire [2:0] litedramcore_bankmachine5_cmd_payload_ba;
+reg litedramcore_bankmachine5_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine5_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine5_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine5_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine5_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine5_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine5_auto_precharge = 1'd0;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
+wire [20:0] litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we;
+wire [20:0] litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
+wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
+wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
+reg [4:0] litedramcore_bankmachine5_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine5_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we;
+wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr;
+wire [23:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [20:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [20:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine5_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine5_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine5_cmd_buffer_sink_first;
+wire litedramcore_bankmachine5_cmd_buffer_sink_last;
+wire litedramcore_bankmachine5_cmd_buffer_sink_payload_we;
+wire [20:0] litedramcore_bankmachine5_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine5_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine5_cmd_buffer_source_ready;
+reg litedramcore_bankmachine5_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine5_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine5_cmd_buffer_source_payload_we = 1'd0;
+reg [20:0] litedramcore_bankmachine5_cmd_buffer_source_payload_addr = 21'd0;
+reg [13:0] litedramcore_bankmachine5_row = 14'd0;
+reg litedramcore_bankmachine5_row_opened = 1'd0;
+wire litedramcore_bankmachine5_row_hit;
+reg litedramcore_bankmachine5_row_open = 1'd0;
+reg litedramcore_bankmachine5_row_close = 1'd0;
+reg litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine5_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine5_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine5_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine5_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine5_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine5_trccon_count = 3'd0;
+wire litedramcore_bankmachine5_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine5_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine5_trascon_count = 3'd0;
+wire litedramcore_bankmachine6_req_valid;
+wire litedramcore_bankmachine6_req_ready;
+wire litedramcore_bankmachine6_req_we;
+wire [20:0] litedramcore_bankmachine6_req_addr;
+wire litedramcore_bankmachine6_req_lock;
+reg litedramcore_bankmachine6_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine6_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine6_refresh_req;
+reg litedramcore_bankmachine6_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine6_cmd_valid = 1'd0;
+reg litedramcore_bankmachine6_cmd_ready = 1'd0;
+reg [13:0] litedramcore_bankmachine6_cmd_payload_a = 14'd0;
+wire [2:0] litedramcore_bankmachine6_cmd_payload_ba;
+reg litedramcore_bankmachine6_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine6_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine6_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine6_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine6_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine6_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine6_auto_precharge = 1'd0;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
+wire [20:0] litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we;
+wire [20:0] litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
+wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
+wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
+reg [4:0] litedramcore_bankmachine6_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine6_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we;
+wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr;
+wire [23:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [20:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [20:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine6_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine6_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine6_cmd_buffer_sink_first;
+wire litedramcore_bankmachine6_cmd_buffer_sink_last;
+wire litedramcore_bankmachine6_cmd_buffer_sink_payload_we;
+wire [20:0] litedramcore_bankmachine6_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine6_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine6_cmd_buffer_source_ready;
+reg litedramcore_bankmachine6_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine6_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine6_cmd_buffer_source_payload_we = 1'd0;
+reg [20:0] litedramcore_bankmachine6_cmd_buffer_source_payload_addr = 21'd0;
+reg [13:0] litedramcore_bankmachine6_row = 14'd0;
+reg litedramcore_bankmachine6_row_opened = 1'd0;
+wire litedramcore_bankmachine6_row_hit;
+reg litedramcore_bankmachine6_row_open = 1'd0;
+reg litedramcore_bankmachine6_row_close = 1'd0;
+reg litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine6_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine6_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine6_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine6_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine6_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine6_trccon_count = 3'd0;
+wire litedramcore_bankmachine6_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine6_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine6_trascon_count = 3'd0;
+wire litedramcore_bankmachine7_req_valid;
+wire litedramcore_bankmachine7_req_ready;
+wire litedramcore_bankmachine7_req_we;
+wire [20:0] litedramcore_bankmachine7_req_addr;
+wire litedramcore_bankmachine7_req_lock;
+reg litedramcore_bankmachine7_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine7_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine7_refresh_req;
+reg litedramcore_bankmachine7_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine7_cmd_valid = 1'd0;
+reg litedramcore_bankmachine7_cmd_ready = 1'd0;
+reg [13:0] litedramcore_bankmachine7_cmd_payload_a = 14'd0;
+wire [2:0] litedramcore_bankmachine7_cmd_payload_ba;
+reg litedramcore_bankmachine7_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine7_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine7_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine7_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine7_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine7_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine7_auto_precharge = 1'd0;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
+wire [20:0] litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we;
+wire [20:0] litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
+wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
+wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
+reg [4:0] litedramcore_bankmachine7_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine7_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we;
+wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr;
+wire [23:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [20:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [20:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine7_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine7_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine7_cmd_buffer_sink_first;
+wire litedramcore_bankmachine7_cmd_buffer_sink_last;
+wire litedramcore_bankmachine7_cmd_buffer_sink_payload_we;
+wire [20:0] litedramcore_bankmachine7_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine7_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine7_cmd_buffer_source_ready;
+reg litedramcore_bankmachine7_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine7_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine7_cmd_buffer_source_payload_we = 1'd0;
+reg [20:0] litedramcore_bankmachine7_cmd_buffer_source_payload_addr = 21'd0;
+reg [13:0] litedramcore_bankmachine7_row = 14'd0;
+reg litedramcore_bankmachine7_row_opened = 1'd0;
+wire litedramcore_bankmachine7_row_hit;
+reg litedramcore_bankmachine7_row_open = 1'd0;
+reg litedramcore_bankmachine7_row_close = 1'd0;
+reg litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine7_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine7_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine7_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine7_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine7_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine7_trccon_count = 3'd0;
+wire litedramcore_bankmachine7_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine7_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine7_trascon_count = 3'd0;
+wire litedramcore_ras_allowed;
+wire litedramcore_cas_allowed;
+reg litedramcore_choose_cmd_want_reads = 1'd0;
+reg litedramcore_choose_cmd_want_writes = 1'd0;
+reg litedramcore_choose_cmd_want_cmds = 1'd0;
+reg litedramcore_choose_cmd_want_activates = 1'd0;
+wire litedramcore_choose_cmd_cmd_valid;
+reg litedramcore_choose_cmd_cmd_ready = 1'd0;
+wire [13:0] litedramcore_choose_cmd_cmd_payload_a;
+wire [2:0] litedramcore_choose_cmd_cmd_payload_ba;
+reg litedramcore_choose_cmd_cmd_payload_cas = 1'd0;
+reg litedramcore_choose_cmd_cmd_payload_ras = 1'd0;
+reg litedramcore_choose_cmd_cmd_payload_we = 1'd0;
+wire litedramcore_choose_cmd_cmd_payload_is_cmd;
+wire litedramcore_choose_cmd_cmd_payload_is_read;
+wire litedramcore_choose_cmd_cmd_payload_is_write;
+reg [7:0] litedramcore_choose_cmd_valids = 8'd0;
+wire [7:0] litedramcore_choose_cmd_request;
+reg [2:0] litedramcore_choose_cmd_grant = 3'd0;
+wire litedramcore_choose_cmd_ce;
+reg litedramcore_choose_req_want_reads = 1'd0;
+reg litedramcore_choose_req_want_writes = 1'd0;
+reg litedramcore_choose_req_want_cmds = 1'd0;
+reg litedramcore_choose_req_want_activates = 1'd0;
+wire litedramcore_choose_req_cmd_valid;
+reg litedramcore_choose_req_cmd_ready = 1'd0;
+wire [13:0] litedramcore_choose_req_cmd_payload_a;
+wire [2:0] litedramcore_choose_req_cmd_payload_ba;
+reg litedramcore_choose_req_cmd_payload_cas = 1'd0;
+reg litedramcore_choose_req_cmd_payload_ras = 1'd0;
+reg litedramcore_choose_req_cmd_payload_we = 1'd0;
+wire litedramcore_choose_req_cmd_payload_is_cmd;
+wire litedramcore_choose_req_cmd_payload_is_read;
+wire litedramcore_choose_req_cmd_payload_is_write;
+reg [7:0] litedramcore_choose_req_valids = 8'd0;
+wire [7:0] litedramcore_choose_req_request;
+reg [2:0] litedramcore_choose_req_grant = 3'd0;
+wire litedramcore_choose_req_ce;
+reg [13:0] litedramcore_nop_a = 14'd0;
+reg [2:0] litedramcore_nop_ba = 3'd0;
+reg [1:0] litedramcore_steerer_sel0 = 2'd0;
+reg [1:0] litedramcore_steerer_sel1 = 2'd0;
+reg [1:0] litedramcore_steerer_sel2 = 2'd0;
+reg [1:0] litedramcore_steerer_sel3 = 2'd0;
+reg litedramcore_steerer0 = 1'd1;
+reg litedramcore_steerer1 = 1'd1;
+reg litedramcore_steerer2 = 1'd1;
+reg litedramcore_steerer3 = 1'd1;
+reg litedramcore_steerer4 = 1'd1;
+reg litedramcore_steerer5 = 1'd1;
+reg litedramcore_steerer6 = 1'd1;
+reg litedramcore_steerer7 = 1'd1;
+wire litedramcore_trrdcon_valid;
+(* dont_touch = "true" *) reg litedramcore_trrdcon_ready = 1'd1;
+reg litedramcore_trrdcon_count = 1'd0;
+wire litedramcore_tfawcon_valid;
+(* dont_touch = "true" *) reg litedramcore_tfawcon_ready = 1'd1;
+wire [2:0] litedramcore_tfawcon_count;
+reg [4:0] litedramcore_tfawcon_window = 5'd0;
+wire litedramcore_tccdcon_valid;
+(* dont_touch = "true" *) reg litedramcore_tccdcon_ready = 1'd1;
+reg litedramcore_tccdcon_count = 1'd0;
+wire litedramcore_twtrcon_valid;
+(* dont_touch = "true" *) reg litedramcore_twtrcon_ready = 1'd1;
+reg [2:0] litedramcore_twtrcon_count = 3'd0;
+wire litedramcore_read_available;
+wire litedramcore_write_available;
+reg litedramcore_en0 = 1'd0;
+wire litedramcore_max_time0;
+reg [4:0] litedramcore_time0 = 5'd0;
+reg litedramcore_en1 = 1'd0;
+wire litedramcore_max_time1;
+reg [3:0] litedramcore_time1 = 4'd0;
+wire litedramcore_go_to_refresh;
+reg init_done_storage = 1'd0;
+reg init_done_re = 1'd0;
+reg init_error_storage = 1'd0;
+reg init_error_re = 1'd0;
+wire [13:0] csr_port_adr;
+wire csr_port_we;
+wire [7:0] csr_port_dat_w;
+wire [7:0] csr_port_dat_r;
+wire user_port_cmd_valid;
+wire user_port_cmd_ready;
+wire user_port_cmd_payload_we;
+wire [23:0] user_port_cmd_payload_addr;
+wire user_port_wdata_valid;
+wire user_port_wdata_ready;
+wire [127:0] user_port_wdata_payload_data;
+wire [15:0] user_port_wdata_payload_we;
+wire user_port_rdata_valid;
+wire user_port_rdata_ready;
+wire [127:0] user_port_rdata_payload_data;
+wire pll_fb0;
+wire pll_fb1;
+reg [1:0] refresher_state = 2'd0;
+reg [1:0] refresher_next_state = 2'd0;
+reg [3:0] bankmachine0_state = 4'd0;
+reg [3:0] bankmachine0_next_state = 4'd0;
+reg [3:0] bankmachine1_state = 4'd0;
+reg [3:0] bankmachine1_next_state = 4'd0;
+reg [3:0] bankmachine2_state = 4'd0;
+reg [3:0] bankmachine2_next_state = 4'd0;
+reg [3:0] bankmachine3_state = 4'd0;
+reg [3:0] bankmachine3_next_state = 4'd0;
+reg [3:0] bankmachine4_state = 4'd0;
+reg [3:0] bankmachine4_next_state = 4'd0;
+reg [3:0] bankmachine5_state = 4'd0;
+reg [3:0] bankmachine5_next_state = 4'd0;
+reg [3:0] bankmachine6_state = 4'd0;
+reg [3:0] bankmachine6_next_state = 4'd0;
+reg [3:0] bankmachine7_state = 4'd0;
+reg [3:0] bankmachine7_next_state = 4'd0;
+reg [3:0] multiplexer_state = 4'd0;
+reg [3:0] multiplexer_next_state = 4'd0;
+wire roundrobin0_request;
+wire roundrobin0_grant;
+wire roundrobin0_ce;
+wire roundrobin1_request;
+wire roundrobin1_grant;
+wire roundrobin1_ce;
+wire roundrobin2_request;
+wire roundrobin2_grant;
+wire roundrobin2_ce;
+wire roundrobin3_request;
+wire roundrobin3_grant;
+wire roundrobin3_ce;
+wire roundrobin4_request;
+wire roundrobin4_grant;
+wire roundrobin4_ce;
+wire roundrobin5_request;
+wire roundrobin5_grant;
+wire roundrobin5_ce;
+wire roundrobin6_request;
+wire roundrobin6_grant;
+wire roundrobin6_ce;
+wire roundrobin7_request;
+wire roundrobin7_grant;
+wire roundrobin7_ce;
+reg locked0 = 1'd0;
+reg locked1 = 1'd0;
+reg locked2 = 1'd0;
+reg locked3 = 1'd0;
+reg locked4 = 1'd0;
+reg locked5 = 1'd0;
+reg locked6 = 1'd0;
+reg locked7 = 1'd0;
+reg new_master_wdata_ready0 = 1'd0;
+reg new_master_wdata_ready1 = 1'd0;
+reg new_master_wdata_ready2 = 1'd0;
+reg new_master_rdata_valid0 = 1'd0;
+reg new_master_rdata_valid1 = 1'd0;
+reg new_master_rdata_valid2 = 1'd0;
+reg new_master_rdata_valid3 = 1'd0;
+reg new_master_rdata_valid4 = 1'd0;
+reg new_master_rdata_valid5 = 1'd0;
+reg new_master_rdata_valid6 = 1'd0;
+reg new_master_rdata_valid7 = 1'd0;
+reg new_master_rdata_valid8 = 1'd0;
+wire [13:0] interface0_bank_bus_adr;
+wire interface0_bank_bus_we;
+wire [7:0] interface0_bank_bus_dat_w;
+reg [7:0] interface0_bank_bus_dat_r = 8'd0;
+wire csrbank0_init_done0_re;
+wire csrbank0_init_done0_r;
+wire csrbank0_init_done0_we;
+wire csrbank0_init_done0_w;
+wire csrbank0_init_error0_re;
+wire csrbank0_init_error0_r;
+wire csrbank0_init_error0_we;
+wire csrbank0_init_error0_w;
+reg csrbank0_sel = 1'd0;
+wire [13:0] interface1_bank_bus_adr;
+wire interface1_bank_bus_we;
+wire [7:0] interface1_bank_bus_dat_w;
+reg [7:0] interface1_bank_bus_dat_r = 8'd0;
+wire csrbank1_half_sys8x_taps0_re;
+wire [4:0] csrbank1_half_sys8x_taps0_r;
+wire csrbank1_half_sys8x_taps0_we;
+wire [4:0] csrbank1_half_sys8x_taps0_w;
+wire csrbank1_wlevel_en0_re;
+wire csrbank1_wlevel_en0_r;
+wire csrbank1_wlevel_en0_we;
+wire csrbank1_wlevel_en0_w;
+wire csrbank1_dly_sel0_re;
+wire [1:0] csrbank1_dly_sel0_r;
+wire csrbank1_dly_sel0_we;
+wire [1:0] csrbank1_dly_sel0_w;
+reg csrbank1_sel = 1'd0;
+wire [13:0] interface2_bank_bus_adr;
+wire interface2_bank_bus_we;
+wire [7:0] interface2_bank_bus_dat_w;
+reg [7:0] interface2_bank_bus_dat_r = 8'd0;
+wire csrbank2_dfii_control0_re;
+wire [3:0] csrbank2_dfii_control0_r;
+wire csrbank2_dfii_control0_we;
+wire [3:0] csrbank2_dfii_control0_w;
+wire csrbank2_dfii_pi0_command0_re;
+wire [5:0] csrbank2_dfii_pi0_command0_r;
+wire csrbank2_dfii_pi0_command0_we;
+wire [5:0] csrbank2_dfii_pi0_command0_w;
+wire csrbank2_dfii_pi0_address1_re;
+wire [5:0] csrbank2_dfii_pi0_address1_r;
+wire csrbank2_dfii_pi0_address1_we;
+wire [5:0] csrbank2_dfii_pi0_address1_w;
+wire csrbank2_dfii_pi0_address0_re;
+wire [7:0] csrbank2_dfii_pi0_address0_r;
+wire csrbank2_dfii_pi0_address0_we;
+wire [7:0] csrbank2_dfii_pi0_address0_w;
+wire csrbank2_dfii_pi0_baddress0_re;
+wire [2:0] csrbank2_dfii_pi0_baddress0_r;
+wire csrbank2_dfii_pi0_baddress0_we;
+wire [2:0] csrbank2_dfii_pi0_baddress0_w;
+wire csrbank2_dfii_pi0_wrdata3_re;
+wire [7:0] csrbank2_dfii_pi0_wrdata3_r;
+wire csrbank2_dfii_pi0_wrdata3_we;
+wire [7:0] csrbank2_dfii_pi0_wrdata3_w;
+wire csrbank2_dfii_pi0_wrdata2_re;
+wire [7:0] csrbank2_dfii_pi0_wrdata2_r;
+wire csrbank2_dfii_pi0_wrdata2_we;
+wire [7:0] csrbank2_dfii_pi0_wrdata2_w;
+wire csrbank2_dfii_pi0_wrdata1_re;
+wire [7:0] csrbank2_dfii_pi0_wrdata1_r;
+wire csrbank2_dfii_pi0_wrdata1_we;
+wire [7:0] csrbank2_dfii_pi0_wrdata1_w;
+wire csrbank2_dfii_pi0_wrdata0_re;
+wire [7:0] csrbank2_dfii_pi0_wrdata0_r;
+wire csrbank2_dfii_pi0_wrdata0_we;
+wire [7:0] csrbank2_dfii_pi0_wrdata0_w;
+wire csrbank2_dfii_pi0_rddata3_re;
+wire [7:0] csrbank2_dfii_pi0_rddata3_r;
+wire csrbank2_dfii_pi0_rddata3_we;
+wire [7:0] csrbank2_dfii_pi0_rddata3_w;
+wire csrbank2_dfii_pi0_rddata2_re;
+wire [7:0] csrbank2_dfii_pi0_rddata2_r;
+wire csrbank2_dfii_pi0_rddata2_we;
+wire [7:0] csrbank2_dfii_pi0_rddata2_w;
+wire csrbank2_dfii_pi0_rddata1_re;
+wire [7:0] csrbank2_dfii_pi0_rddata1_r;
+wire csrbank2_dfii_pi0_rddata1_we;
+wire [7:0] csrbank2_dfii_pi0_rddata1_w;
+wire csrbank2_dfii_pi0_rddata0_re;
+wire [7:0] csrbank2_dfii_pi0_rddata0_r;
+wire csrbank2_dfii_pi0_rddata0_we;
+wire [7:0] csrbank2_dfii_pi0_rddata0_w;
+wire csrbank2_dfii_pi1_command0_re;
+wire [5:0] csrbank2_dfii_pi1_command0_r;
+wire csrbank2_dfii_pi1_command0_we;
+wire [5:0] csrbank2_dfii_pi1_command0_w;
+wire csrbank2_dfii_pi1_address1_re;
+wire [5:0] csrbank2_dfii_pi1_address1_r;
+wire csrbank2_dfii_pi1_address1_we;
+wire [5:0] csrbank2_dfii_pi1_address1_w;
+wire csrbank2_dfii_pi1_address0_re;
+wire [7:0] csrbank2_dfii_pi1_address0_r;
+wire csrbank2_dfii_pi1_address0_we;
+wire [7:0] csrbank2_dfii_pi1_address0_w;
+wire csrbank2_dfii_pi1_baddress0_re;
+wire [2:0] csrbank2_dfii_pi1_baddress0_r;
+wire csrbank2_dfii_pi1_baddress0_we;
+wire [2:0] csrbank2_dfii_pi1_baddress0_w;
+wire csrbank2_dfii_pi1_wrdata3_re;
+wire [7:0] csrbank2_dfii_pi1_wrdata3_r;
+wire csrbank2_dfii_pi1_wrdata3_we;
+wire [7:0] csrbank2_dfii_pi1_wrdata3_w;
+wire csrbank2_dfii_pi1_wrdata2_re;
+wire [7:0] csrbank2_dfii_pi1_wrdata2_r;
+wire csrbank2_dfii_pi1_wrdata2_we;
+wire [7:0] csrbank2_dfii_pi1_wrdata2_w;
+wire csrbank2_dfii_pi1_wrdata1_re;
+wire [7:0] csrbank2_dfii_pi1_wrdata1_r;
+wire csrbank2_dfii_pi1_wrdata1_we;
+wire [7:0] csrbank2_dfii_pi1_wrdata1_w;
+wire csrbank2_dfii_pi1_wrdata0_re;
+wire [7:0] csrbank2_dfii_pi1_wrdata0_r;
+wire csrbank2_dfii_pi1_wrdata0_we;
+wire [7:0] csrbank2_dfii_pi1_wrdata0_w;
+wire csrbank2_dfii_pi1_rddata3_re;
+wire [7:0] csrbank2_dfii_pi1_rddata3_r;
+wire csrbank2_dfii_pi1_rddata3_we;
+wire [7:0] csrbank2_dfii_pi1_rddata3_w;
+wire csrbank2_dfii_pi1_rddata2_re;
+wire [7:0] csrbank2_dfii_pi1_rddata2_r;
+wire csrbank2_dfii_pi1_rddata2_we;
+wire [7:0] csrbank2_dfii_pi1_rddata2_w;
+wire csrbank2_dfii_pi1_rddata1_re;
+wire [7:0] csrbank2_dfii_pi1_rddata1_r;
+wire csrbank2_dfii_pi1_rddata1_we;
+wire [7:0] csrbank2_dfii_pi1_rddata1_w;
+wire csrbank2_dfii_pi1_rddata0_re;
+wire [7:0] csrbank2_dfii_pi1_rddata0_r;
+wire csrbank2_dfii_pi1_rddata0_we;
+wire [7:0] csrbank2_dfii_pi1_rddata0_w;
+wire csrbank2_dfii_pi2_command0_re;
+wire [5:0] csrbank2_dfii_pi2_command0_r;
+wire csrbank2_dfii_pi2_command0_we;
+wire [5:0] csrbank2_dfii_pi2_command0_w;
+wire csrbank2_dfii_pi2_address1_re;
+wire [5:0] csrbank2_dfii_pi2_address1_r;
+wire csrbank2_dfii_pi2_address1_we;
+wire [5:0] csrbank2_dfii_pi2_address1_w;
+wire csrbank2_dfii_pi2_address0_re;
+wire [7:0] csrbank2_dfii_pi2_address0_r;
+wire csrbank2_dfii_pi2_address0_we;
+wire [7:0] csrbank2_dfii_pi2_address0_w;
+wire csrbank2_dfii_pi2_baddress0_re;
+wire [2:0] csrbank2_dfii_pi2_baddress0_r;
+wire csrbank2_dfii_pi2_baddress0_we;
+wire [2:0] csrbank2_dfii_pi2_baddress0_w;
+wire csrbank2_dfii_pi2_wrdata3_re;
+wire [7:0] csrbank2_dfii_pi2_wrdata3_r;
+wire csrbank2_dfii_pi2_wrdata3_we;
+wire [7:0] csrbank2_dfii_pi2_wrdata3_w;
+wire csrbank2_dfii_pi2_wrdata2_re;
+wire [7:0] csrbank2_dfii_pi2_wrdata2_r;
+wire csrbank2_dfii_pi2_wrdata2_we;
+wire [7:0] csrbank2_dfii_pi2_wrdata2_w;
+wire csrbank2_dfii_pi2_wrdata1_re;
+wire [7:0] csrbank2_dfii_pi2_wrdata1_r;
+wire csrbank2_dfii_pi2_wrdata1_we;
+wire [7:0] csrbank2_dfii_pi2_wrdata1_w;
+wire csrbank2_dfii_pi2_wrdata0_re;
+wire [7:0] csrbank2_dfii_pi2_wrdata0_r;
+wire csrbank2_dfii_pi2_wrdata0_we;
+wire [7:0] csrbank2_dfii_pi2_wrdata0_w;
+wire csrbank2_dfii_pi2_rddata3_re;
+wire [7:0] csrbank2_dfii_pi2_rddata3_r;
+wire csrbank2_dfii_pi2_rddata3_we;
+wire [7:0] csrbank2_dfii_pi2_rddata3_w;
+wire csrbank2_dfii_pi2_rddata2_re;
+wire [7:0] csrbank2_dfii_pi2_rddata2_r;
+wire csrbank2_dfii_pi2_rddata2_we;
+wire [7:0] csrbank2_dfii_pi2_rddata2_w;
+wire csrbank2_dfii_pi2_rddata1_re;
+wire [7:0] csrbank2_dfii_pi2_rddata1_r;
+wire csrbank2_dfii_pi2_rddata1_we;
+wire [7:0] csrbank2_dfii_pi2_rddata1_w;
+wire csrbank2_dfii_pi2_rddata0_re;
+wire [7:0] csrbank2_dfii_pi2_rddata0_r;
+wire csrbank2_dfii_pi2_rddata0_we;
+wire [7:0] csrbank2_dfii_pi2_rddata0_w;
+wire csrbank2_dfii_pi3_command0_re;
+wire [5:0] csrbank2_dfii_pi3_command0_r;
+wire csrbank2_dfii_pi3_command0_we;
+wire [5:0] csrbank2_dfii_pi3_command0_w;
+wire csrbank2_dfii_pi3_address1_re;
+wire [5:0] csrbank2_dfii_pi3_address1_r;
+wire csrbank2_dfii_pi3_address1_we;
+wire [5:0] csrbank2_dfii_pi3_address1_w;
+wire csrbank2_dfii_pi3_address0_re;
+wire [7:0] csrbank2_dfii_pi3_address0_r;
+wire csrbank2_dfii_pi3_address0_we;
+wire [7:0] csrbank2_dfii_pi3_address0_w;
+wire csrbank2_dfii_pi3_baddress0_re;
+wire [2:0] csrbank2_dfii_pi3_baddress0_r;
+wire csrbank2_dfii_pi3_baddress0_we;
+wire [2:0] csrbank2_dfii_pi3_baddress0_w;
+wire csrbank2_dfii_pi3_wrdata3_re;
+wire [7:0] csrbank2_dfii_pi3_wrdata3_r;
+wire csrbank2_dfii_pi3_wrdata3_we;
+wire [7:0] csrbank2_dfii_pi3_wrdata3_w;
+wire csrbank2_dfii_pi3_wrdata2_re;
+wire [7:0] csrbank2_dfii_pi3_wrdata2_r;
+wire csrbank2_dfii_pi3_wrdata2_we;
+wire [7:0] csrbank2_dfii_pi3_wrdata2_w;
+wire csrbank2_dfii_pi3_wrdata1_re;
+wire [7:0] csrbank2_dfii_pi3_wrdata1_r;
+wire csrbank2_dfii_pi3_wrdata1_we;
+wire [7:0] csrbank2_dfii_pi3_wrdata1_w;
+wire csrbank2_dfii_pi3_wrdata0_re;
+wire [7:0] csrbank2_dfii_pi3_wrdata0_r;
+wire csrbank2_dfii_pi3_wrdata0_we;
+wire [7:0] csrbank2_dfii_pi3_wrdata0_w;
+wire csrbank2_dfii_pi3_rddata3_re;
+wire [7:0] csrbank2_dfii_pi3_rddata3_r;
+wire csrbank2_dfii_pi3_rddata3_we;
+wire [7:0] csrbank2_dfii_pi3_rddata3_w;
+wire csrbank2_dfii_pi3_rddata2_re;
+wire [7:0] csrbank2_dfii_pi3_rddata2_r;
+wire csrbank2_dfii_pi3_rddata2_we;
+wire [7:0] csrbank2_dfii_pi3_rddata2_w;
+wire csrbank2_dfii_pi3_rddata1_re;
+wire [7:0] csrbank2_dfii_pi3_rddata1_r;
+wire csrbank2_dfii_pi3_rddata1_we;
+wire [7:0] csrbank2_dfii_pi3_rddata1_w;
+wire csrbank2_dfii_pi3_rddata0_re;
+wire [7:0] csrbank2_dfii_pi3_rddata0_r;
+wire csrbank2_dfii_pi3_rddata0_we;
+wire [7:0] csrbank2_dfii_pi3_rddata0_w;
+reg csrbank2_sel = 1'd0;
+wire [13:0] adr;
+wire we;
+wire [7:0] dat_w;
+wire [7:0] dat_r;
+reg rhs_array_muxed0 = 1'd0;
+reg [13:0] rhs_array_muxed1 = 14'd0;
+reg [2:0] rhs_array_muxed2 = 3'd0;
+reg rhs_array_muxed3 = 1'd0;
+reg rhs_array_muxed4 = 1'd0;
+reg rhs_array_muxed5 = 1'd0;
+reg t_array_muxed0 = 1'd0;
+reg t_array_muxed1 = 1'd0;
+reg t_array_muxed2 = 1'd0;
+reg rhs_array_muxed6 = 1'd0;
+reg [13:0] rhs_array_muxed7 = 14'd0;
+reg [2:0] rhs_array_muxed8 = 3'd0;
+reg rhs_array_muxed9 = 1'd0;
+reg rhs_array_muxed10 = 1'd0;
+reg rhs_array_muxed11 = 1'd0;
+reg t_array_muxed3 = 1'd0;
+reg t_array_muxed4 = 1'd0;
+reg t_array_muxed5 = 1'd0;
+reg [20:0] rhs_array_muxed12 = 21'd0;
+reg rhs_array_muxed13 = 1'd0;
+reg rhs_array_muxed14 = 1'd0;
+reg [20:0] rhs_array_muxed15 = 21'd0;
+reg rhs_array_muxed16 = 1'd0;
+reg rhs_array_muxed17 = 1'd0;
+reg [20:0] rhs_array_muxed18 = 21'd0;
+reg rhs_array_muxed19 = 1'd0;
+reg rhs_array_muxed20 = 1'd0;
+reg [20:0] rhs_array_muxed21 = 21'd0;
+reg rhs_array_muxed22 = 1'd0;
+reg rhs_array_muxed23 = 1'd0;
+reg [20:0] rhs_array_muxed24 = 21'd0;
+reg rhs_array_muxed25 = 1'd0;
+reg rhs_array_muxed26 = 1'd0;
+reg [20:0] rhs_array_muxed27 = 21'd0;
+reg rhs_array_muxed28 = 1'd0;
+reg rhs_array_muxed29 = 1'd0;
+reg [20:0] rhs_array_muxed30 = 21'd0;
+reg rhs_array_muxed31 = 1'd0;
+reg rhs_array_muxed32 = 1'd0;
+reg [20:0] rhs_array_muxed33 = 21'd0;
+reg rhs_array_muxed34 = 1'd0;
+reg rhs_array_muxed35 = 1'd0;
+reg [2:0] array_muxed0 = 3'd0;
+reg [13:0] array_muxed1 = 14'd0;
+reg array_muxed2 = 1'd0;
+reg array_muxed3 = 1'd0;
+reg array_muxed4 = 1'd0;
+reg array_muxed5 = 1'd0;
+reg array_muxed6 = 1'd0;
+reg [2:0] array_muxed7 = 3'd0;
+reg [13:0] array_muxed8 = 14'd0;
+reg array_muxed9 = 1'd0;
+reg array_muxed10 = 1'd0;
+reg array_muxed11 = 1'd0;
+reg array_muxed12 = 1'd0;
+reg array_muxed13 = 1'd0;
+reg [2:0] array_muxed14 = 3'd0;
+reg [13:0] array_muxed15 = 14'd0;
+reg array_muxed16 = 1'd0;
+reg array_muxed17 = 1'd0;
+reg array_muxed18 = 1'd0;
+reg array_muxed19 = 1'd0;
+reg array_muxed20 = 1'd0;
+reg [2:0] array_muxed21 = 3'd0;
+reg [13:0] array_muxed22 = 14'd0;
+reg array_muxed23 = 1'd0;
+reg array_muxed24 = 1'd0;
+reg array_muxed25 = 1'd0;
+reg array_muxed26 = 1'd0;
+reg array_muxed27 = 1'd0;
+wire xilinxasyncresetsynchronizerimpl0;
+wire xilinxasyncresetsynchronizerimpl0_rst_meta;
+wire xilinxasyncresetsynchronizerimpl1;
+wire xilinxasyncresetsynchronizerimpl1_rst_meta;
+wire xilinxasyncresetsynchronizerimpl1_expr;
+wire xilinxasyncresetsynchronizerimpl2;
+wire xilinxasyncresetsynchronizerimpl2_rst_meta;
+wire xilinxasyncresetsynchronizerimpl2_expr;
+wire xilinxasyncresetsynchronizerimpl3;
+wire xilinxasyncresetsynchronizerimpl3_rst_meta;
// synthesis translate_off
reg dummy_s;
initial dummy_s <= 1'd0;
// synthesis translate_on
-assign soc_litedramcore_cpu_reset = soc_litedramcore_soccontroller_reset;
-assign init_done = soc_init_done_storage;
-assign init_error = soc_init_error_storage;
+assign init_done = init_done_storage;
+assign init_error = init_error_storage;
+assign csr_port_adr = csr_port0_adr;
+assign csr_port_we = csr_port0_we;
+assign csr_port_dat_w = csr_port0_dat_w;
+assign csr_port0_dat_r = csr_port_dat_r;
assign user_clk = sys_clk;
assign user_rst = sys_rst;
-assign soc_cmd_valid = user_port_native_0_cmd_valid;
-assign user_port_native_0_cmd_ready = soc_cmd_ready;
-assign soc_cmd_payload_we = user_port_native_0_cmd_we;
-assign soc_cmd_payload_addr = user_port_native_0_cmd_addr;
-assign soc_wdata_valid = user_port_native_0_wdata_valid;
-assign user_port_native_0_wdata_ready = soc_wdata_ready;
-assign soc_wdata_payload_we = user_port_native_0_wdata_we;
-assign soc_wdata_payload_data = user_port_native_0_wdata_data;
-assign user_port_native_0_rdata_valid = soc_rdata_valid;
-assign soc_rdata_ready = user_port_native_0_rdata_ready;
-assign user_port_native_0_rdata_data = soc_rdata_payload_data;
-assign soc_litedramcore_soccontroller_bus_error = vns_error;
+assign user_port_cmd_valid = user_port_native_0_cmd_valid;
+assign user_port_native_0_cmd_ready = user_port_cmd_ready;
+assign user_port_cmd_payload_we = user_port_native_0_cmd_we;
+assign user_port_cmd_payload_addr = user_port_native_0_cmd_addr;
+assign user_port_wdata_valid = user_port_native_0_wdata_valid;
+assign user_port_native_0_wdata_ready = user_port_wdata_ready;
+assign user_port_wdata_payload_we = user_port_native_0_wdata_we;
+assign user_port_wdata_payload_data = user_port_native_0_wdata_data;
+assign user_port_native_0_rdata_valid = user_port_rdata_valid;
+assign user_port_rdata_ready = user_port_native_0_rdata_ready;
+assign user_port_native_0_rdata_data = user_port_rdata_payload_data;
+assign sys_pll_reset = rst;
+assign pll_locked = sys_pll_locked;
+assign iodelay_pll_reset = rst;
+assign s7pll0_clkin = clk;
+assign sys_clk = s7pll0_clkout_buf0;
+assign sys4x_clk = s7pll0_clkout_buf1;
+assign sys4x_dqs_clk = s7pll0_clkout_buf2;
+assign s7pll1_clkin = clk;
+assign iodelay_clk = s7pll1_clkout_buf;
+assign a7ddrphy_bitslip0_i = a7ddrphy_dq_i_data0;
// synthesis translate_off
reg dummy_d;
// synthesis translate_on
always @(*) begin
- soc_litedramcore_cpu_interrupt <= 32'd0;
- soc_litedramcore_cpu_interrupt[1] <= soc_litedramcore_timer_irq;
- soc_litedramcore_cpu_interrupt[0] <= soc_litedramcore_uart_irq;
+ a7ddrphy_dfi_p0_rddata <= 32'd0;
+ a7ddrphy_dfi_p0_rddata[0] <= a7ddrphy_bitslip0_o[0];
+ a7ddrphy_dfi_p0_rddata[16] <= a7ddrphy_bitslip0_o[1];
+ a7ddrphy_dfi_p0_rddata[1] <= a7ddrphy_bitslip1_o[0];
+ a7ddrphy_dfi_p0_rddata[17] <= a7ddrphy_bitslip1_o[1];
+ a7ddrphy_dfi_p0_rddata[2] <= a7ddrphy_bitslip2_o[0];
+ a7ddrphy_dfi_p0_rddata[18] <= a7ddrphy_bitslip2_o[1];
+ a7ddrphy_dfi_p0_rddata[3] <= a7ddrphy_bitslip3_o[0];
+ a7ddrphy_dfi_p0_rddata[19] <= a7ddrphy_bitslip3_o[1];
+ a7ddrphy_dfi_p0_rddata[4] <= a7ddrphy_bitslip4_o[0];
+ a7ddrphy_dfi_p0_rddata[20] <= a7ddrphy_bitslip4_o[1];
+ a7ddrphy_dfi_p0_rddata[5] <= a7ddrphy_bitslip5_o[0];
+ a7ddrphy_dfi_p0_rddata[21] <= a7ddrphy_bitslip5_o[1];
+ a7ddrphy_dfi_p0_rddata[6] <= a7ddrphy_bitslip6_o[0];
+ a7ddrphy_dfi_p0_rddata[22] <= a7ddrphy_bitslip6_o[1];
+ a7ddrphy_dfi_p0_rddata[7] <= a7ddrphy_bitslip7_o[0];
+ a7ddrphy_dfi_p0_rddata[23] <= a7ddrphy_bitslip7_o[1];
+ a7ddrphy_dfi_p0_rddata[8] <= a7ddrphy_bitslip8_o[0];
+ a7ddrphy_dfi_p0_rddata[24] <= a7ddrphy_bitslip8_o[1];
+ a7ddrphy_dfi_p0_rddata[9] <= a7ddrphy_bitslip9_o[0];
+ a7ddrphy_dfi_p0_rddata[25] <= a7ddrphy_bitslip9_o[1];
+ a7ddrphy_dfi_p0_rddata[10] <= a7ddrphy_bitslip10_o[0];
+ a7ddrphy_dfi_p0_rddata[26] <= a7ddrphy_bitslip10_o[1];
+ a7ddrphy_dfi_p0_rddata[11] <= a7ddrphy_bitslip11_o[0];
+ a7ddrphy_dfi_p0_rddata[27] <= a7ddrphy_bitslip11_o[1];
+ a7ddrphy_dfi_p0_rddata[12] <= a7ddrphy_bitslip12_o[0];
+ a7ddrphy_dfi_p0_rddata[28] <= a7ddrphy_bitslip12_o[1];
+ a7ddrphy_dfi_p0_rddata[13] <= a7ddrphy_bitslip13_o[0];
+ a7ddrphy_dfi_p0_rddata[29] <= a7ddrphy_bitslip13_o[1];
+ a7ddrphy_dfi_p0_rddata[14] <= a7ddrphy_bitslip14_o[0];
+ a7ddrphy_dfi_p0_rddata[30] <= a7ddrphy_bitslip14_o[1];
+ a7ddrphy_dfi_p0_rddata[15] <= a7ddrphy_bitslip15_o[0];
+ a7ddrphy_dfi_p0_rddata[31] <= a7ddrphy_bitslip15_o[1];
// synthesis translate_off
dummy_d = dummy_s;
// synthesis translate_on
end
-assign soc_litedramcore_soccontroller_reset = soc_litedramcore_soccontroller_reset_re;
-assign soc_litedramcore_soccontroller_bus_errors_status = soc_litedramcore_soccontroller_bus_errors;
-assign soc_litedramcore_litedramcore_adr = soc_litedramcore_litedramcore_ram_bus_adr[12:0];
-assign soc_litedramcore_litedramcore_ram_bus_dat_r = soc_litedramcore_litedramcore_dat_r;
// synthesis translate_off
reg dummy_d_1;
// synthesis translate_on
always @(*) begin
- soc_litedramcore_ram_we <= 4'd0;
- soc_litedramcore_ram_we[0] <= (((soc_litedramcore_ram_bus_ram_bus_cyc & soc_litedramcore_ram_bus_ram_bus_stb) & soc_litedramcore_ram_bus_ram_bus_we) & soc_litedramcore_ram_bus_ram_bus_sel[0]);
- soc_litedramcore_ram_we[1] <= (((soc_litedramcore_ram_bus_ram_bus_cyc & soc_litedramcore_ram_bus_ram_bus_stb) & soc_litedramcore_ram_bus_ram_bus_we) & soc_litedramcore_ram_bus_ram_bus_sel[1]);
- soc_litedramcore_ram_we[2] <= (((soc_litedramcore_ram_bus_ram_bus_cyc & soc_litedramcore_ram_bus_ram_bus_stb) & soc_litedramcore_ram_bus_ram_bus_we) & soc_litedramcore_ram_bus_ram_bus_sel[2]);
- soc_litedramcore_ram_we[3] <= (((soc_litedramcore_ram_bus_ram_bus_cyc & soc_litedramcore_ram_bus_ram_bus_stb) & soc_litedramcore_ram_bus_ram_bus_we) & soc_litedramcore_ram_bus_ram_bus_sel[3]);
+ a7ddrphy_dfi_p1_rddata <= 32'd0;
+ a7ddrphy_dfi_p1_rddata[0] <= a7ddrphy_bitslip0_o[2];
+ a7ddrphy_dfi_p1_rddata[16] <= a7ddrphy_bitslip0_o[3];
+ a7ddrphy_dfi_p1_rddata[1] <= a7ddrphy_bitslip1_o[2];
+ a7ddrphy_dfi_p1_rddata[17] <= a7ddrphy_bitslip1_o[3];
+ a7ddrphy_dfi_p1_rddata[2] <= a7ddrphy_bitslip2_o[2];
+ a7ddrphy_dfi_p1_rddata[18] <= a7ddrphy_bitslip2_o[3];
+ a7ddrphy_dfi_p1_rddata[3] <= a7ddrphy_bitslip3_o[2];
+ a7ddrphy_dfi_p1_rddata[19] <= a7ddrphy_bitslip3_o[3];
+ a7ddrphy_dfi_p1_rddata[4] <= a7ddrphy_bitslip4_o[2];
+ a7ddrphy_dfi_p1_rddata[20] <= a7ddrphy_bitslip4_o[3];
+ a7ddrphy_dfi_p1_rddata[5] <= a7ddrphy_bitslip5_o[2];
+ a7ddrphy_dfi_p1_rddata[21] <= a7ddrphy_bitslip5_o[3];
+ a7ddrphy_dfi_p1_rddata[6] <= a7ddrphy_bitslip6_o[2];
+ a7ddrphy_dfi_p1_rddata[22] <= a7ddrphy_bitslip6_o[3];
+ a7ddrphy_dfi_p1_rddata[7] <= a7ddrphy_bitslip7_o[2];
+ a7ddrphy_dfi_p1_rddata[23] <= a7ddrphy_bitslip7_o[3];
+ a7ddrphy_dfi_p1_rddata[8] <= a7ddrphy_bitslip8_o[2];
+ a7ddrphy_dfi_p1_rddata[24] <= a7ddrphy_bitslip8_o[3];
+ a7ddrphy_dfi_p1_rddata[9] <= a7ddrphy_bitslip9_o[2];
+ a7ddrphy_dfi_p1_rddata[25] <= a7ddrphy_bitslip9_o[3];
+ a7ddrphy_dfi_p1_rddata[10] <= a7ddrphy_bitslip10_o[2];
+ a7ddrphy_dfi_p1_rddata[26] <= a7ddrphy_bitslip10_o[3];
+ a7ddrphy_dfi_p1_rddata[11] <= a7ddrphy_bitslip11_o[2];
+ a7ddrphy_dfi_p1_rddata[27] <= a7ddrphy_bitslip11_o[3];
+ a7ddrphy_dfi_p1_rddata[12] <= a7ddrphy_bitslip12_o[2];
+ a7ddrphy_dfi_p1_rddata[28] <= a7ddrphy_bitslip12_o[3];
+ a7ddrphy_dfi_p1_rddata[13] <= a7ddrphy_bitslip13_o[2];
+ a7ddrphy_dfi_p1_rddata[29] <= a7ddrphy_bitslip13_o[3];
+ a7ddrphy_dfi_p1_rddata[14] <= a7ddrphy_bitslip14_o[2];
+ a7ddrphy_dfi_p1_rddata[30] <= a7ddrphy_bitslip14_o[3];
+ a7ddrphy_dfi_p1_rddata[15] <= a7ddrphy_bitslip15_o[2];
+ a7ddrphy_dfi_p1_rddata[31] <= a7ddrphy_bitslip15_o[3];
// synthesis translate_off
dummy_d_1 = dummy_s;
// synthesis translate_on
end
-assign soc_litedramcore_ram_adr = soc_litedramcore_ram_bus_ram_bus_adr[9:0];
-assign soc_litedramcore_ram_bus_ram_bus_dat_r = soc_litedramcore_ram_dat_r;
-assign soc_litedramcore_ram_dat_w = soc_litedramcore_ram_bus_ram_bus_dat_w;
-assign soc_litedramcore_uart_uart_sink_valid = soc_litedramcore_source_valid;
-assign soc_litedramcore_source_ready = soc_litedramcore_uart_uart_sink_ready;
-assign soc_litedramcore_uart_uart_sink_first = soc_litedramcore_source_first;
-assign soc_litedramcore_uart_uart_sink_last = soc_litedramcore_source_last;
-assign soc_litedramcore_uart_uart_sink_payload_data = soc_litedramcore_source_payload_data;
-assign soc_litedramcore_sink_valid = soc_litedramcore_uart_uart_source_valid;
-assign soc_litedramcore_uart_uart_source_ready = soc_litedramcore_sink_ready;
-assign soc_litedramcore_sink_first = soc_litedramcore_uart_uart_source_first;
-assign soc_litedramcore_sink_last = soc_litedramcore_uart_uart_source_last;
-assign soc_litedramcore_sink_payload_data = soc_litedramcore_uart_uart_source_payload_data;
-assign soc_litedramcore_uart_tx_fifo_sink_valid = soc_litedramcore_uart_rxtx_re;
-assign soc_litedramcore_uart_tx_fifo_sink_payload_data = soc_litedramcore_uart_rxtx_r;
-assign soc_litedramcore_uart_txfull_status = (~soc_litedramcore_uart_tx_fifo_sink_ready);
-assign soc_litedramcore_uart_uart_source_valid = soc_litedramcore_uart_tx_fifo_source_valid;
-assign soc_litedramcore_uart_tx_fifo_source_ready = soc_litedramcore_uart_uart_source_ready;
-assign soc_litedramcore_uart_uart_source_first = soc_litedramcore_uart_tx_fifo_source_first;
-assign soc_litedramcore_uart_uart_source_last = soc_litedramcore_uart_tx_fifo_source_last;
-assign soc_litedramcore_uart_uart_source_payload_data = soc_litedramcore_uart_tx_fifo_source_payload_data;
-assign soc_litedramcore_uart_tx_trigger = (~soc_litedramcore_uart_tx_fifo_sink_ready);
-assign soc_litedramcore_uart_rx_fifo_sink_valid = soc_litedramcore_uart_uart_sink_valid;
-assign soc_litedramcore_uart_uart_sink_ready = soc_litedramcore_uart_rx_fifo_sink_ready;
-assign soc_litedramcore_uart_rx_fifo_sink_first = soc_litedramcore_uart_uart_sink_first;
-assign soc_litedramcore_uart_rx_fifo_sink_last = soc_litedramcore_uart_uart_sink_last;
-assign soc_litedramcore_uart_rx_fifo_sink_payload_data = soc_litedramcore_uart_uart_sink_payload_data;
-assign soc_litedramcore_uart_rxempty_status = (~soc_litedramcore_uart_rx_fifo_source_valid);
-assign soc_litedramcore_uart_rxtx_w = soc_litedramcore_uart_rx_fifo_source_payload_data;
-assign soc_litedramcore_uart_rx_fifo_source_ready = (soc_litedramcore_uart_rx_clear | (1'd0 & soc_litedramcore_uart_rxtx_we));
-assign soc_litedramcore_uart_rx_trigger = (~soc_litedramcore_uart_rx_fifo_source_valid);
// synthesis translate_off
reg dummy_d_2;
// synthesis translate_on
always @(*) begin
- soc_litedramcore_uart_eventmanager_status_w <= 2'd0;
- soc_litedramcore_uart_eventmanager_status_w[0] <= soc_litedramcore_uart_tx_status;
- soc_litedramcore_uart_eventmanager_status_w[1] <= soc_litedramcore_uart_rx_status;
+ a7ddrphy_dfi_p2_rddata <= 32'd0;
+ a7ddrphy_dfi_p2_rddata[0] <= a7ddrphy_bitslip0_o[4];
+ a7ddrphy_dfi_p2_rddata[16] <= a7ddrphy_bitslip0_o[5];
+ a7ddrphy_dfi_p2_rddata[1] <= a7ddrphy_bitslip1_o[4];
+ a7ddrphy_dfi_p2_rddata[17] <= a7ddrphy_bitslip1_o[5];
+ a7ddrphy_dfi_p2_rddata[2] <= a7ddrphy_bitslip2_o[4];
+ a7ddrphy_dfi_p2_rddata[18] <= a7ddrphy_bitslip2_o[5];
+ a7ddrphy_dfi_p2_rddata[3] <= a7ddrphy_bitslip3_o[4];
+ a7ddrphy_dfi_p2_rddata[19] <= a7ddrphy_bitslip3_o[5];
+ a7ddrphy_dfi_p2_rddata[4] <= a7ddrphy_bitslip4_o[4];
+ a7ddrphy_dfi_p2_rddata[20] <= a7ddrphy_bitslip4_o[5];
+ a7ddrphy_dfi_p2_rddata[5] <= a7ddrphy_bitslip5_o[4];
+ a7ddrphy_dfi_p2_rddata[21] <= a7ddrphy_bitslip5_o[5];
+ a7ddrphy_dfi_p2_rddata[6] <= a7ddrphy_bitslip6_o[4];
+ a7ddrphy_dfi_p2_rddata[22] <= a7ddrphy_bitslip6_o[5];
+ a7ddrphy_dfi_p2_rddata[7] <= a7ddrphy_bitslip7_o[4];
+ a7ddrphy_dfi_p2_rddata[23] <= a7ddrphy_bitslip7_o[5];
+ a7ddrphy_dfi_p2_rddata[8] <= a7ddrphy_bitslip8_o[4];
+ a7ddrphy_dfi_p2_rddata[24] <= a7ddrphy_bitslip8_o[5];
+ a7ddrphy_dfi_p2_rddata[9] <= a7ddrphy_bitslip9_o[4];
+ a7ddrphy_dfi_p2_rddata[25] <= a7ddrphy_bitslip9_o[5];
+ a7ddrphy_dfi_p2_rddata[10] <= a7ddrphy_bitslip10_o[4];
+ a7ddrphy_dfi_p2_rddata[26] <= a7ddrphy_bitslip10_o[5];
+ a7ddrphy_dfi_p2_rddata[11] <= a7ddrphy_bitslip11_o[4];
+ a7ddrphy_dfi_p2_rddata[27] <= a7ddrphy_bitslip11_o[5];
+ a7ddrphy_dfi_p2_rddata[12] <= a7ddrphy_bitslip12_o[4];
+ a7ddrphy_dfi_p2_rddata[28] <= a7ddrphy_bitslip12_o[5];
+ a7ddrphy_dfi_p2_rddata[13] <= a7ddrphy_bitslip13_o[4];
+ a7ddrphy_dfi_p2_rddata[29] <= a7ddrphy_bitslip13_o[5];
+ a7ddrphy_dfi_p2_rddata[14] <= a7ddrphy_bitslip14_o[4];
+ a7ddrphy_dfi_p2_rddata[30] <= a7ddrphy_bitslip14_o[5];
+ a7ddrphy_dfi_p2_rddata[15] <= a7ddrphy_bitslip15_o[4];
+ a7ddrphy_dfi_p2_rddata[31] <= a7ddrphy_bitslip15_o[5];
// synthesis translate_off
dummy_d_2 = dummy_s;
// synthesis translate_on
reg dummy_d_3;
// synthesis translate_on
always @(*) begin
- soc_litedramcore_uart_tx_clear <= 1'd0;
- if ((soc_litedramcore_uart_eventmanager_pending_re & soc_litedramcore_uart_eventmanager_pending_r[0])) begin
- soc_litedramcore_uart_tx_clear <= 1'd1;
- end
+ a7ddrphy_dfi_p3_rddata <= 32'd0;
+ a7ddrphy_dfi_p3_rddata[0] <= a7ddrphy_bitslip0_o[6];
+ a7ddrphy_dfi_p3_rddata[16] <= a7ddrphy_bitslip0_o[7];
+ a7ddrphy_dfi_p3_rddata[1] <= a7ddrphy_bitslip1_o[6];
+ a7ddrphy_dfi_p3_rddata[17] <= a7ddrphy_bitslip1_o[7];
+ a7ddrphy_dfi_p3_rddata[2] <= a7ddrphy_bitslip2_o[6];
+ a7ddrphy_dfi_p3_rddata[18] <= a7ddrphy_bitslip2_o[7];
+ a7ddrphy_dfi_p3_rddata[3] <= a7ddrphy_bitslip3_o[6];
+ a7ddrphy_dfi_p3_rddata[19] <= a7ddrphy_bitslip3_o[7];
+ a7ddrphy_dfi_p3_rddata[4] <= a7ddrphy_bitslip4_o[6];
+ a7ddrphy_dfi_p3_rddata[20] <= a7ddrphy_bitslip4_o[7];
+ a7ddrphy_dfi_p3_rddata[5] <= a7ddrphy_bitslip5_o[6];
+ a7ddrphy_dfi_p3_rddata[21] <= a7ddrphy_bitslip5_o[7];
+ a7ddrphy_dfi_p3_rddata[6] <= a7ddrphy_bitslip6_o[6];
+ a7ddrphy_dfi_p3_rddata[22] <= a7ddrphy_bitslip6_o[7];
+ a7ddrphy_dfi_p3_rddata[7] <= a7ddrphy_bitslip7_o[6];
+ a7ddrphy_dfi_p3_rddata[23] <= a7ddrphy_bitslip7_o[7];
+ a7ddrphy_dfi_p3_rddata[8] <= a7ddrphy_bitslip8_o[6];
+ a7ddrphy_dfi_p3_rddata[24] <= a7ddrphy_bitslip8_o[7];
+ a7ddrphy_dfi_p3_rddata[9] <= a7ddrphy_bitslip9_o[6];
+ a7ddrphy_dfi_p3_rddata[25] <= a7ddrphy_bitslip9_o[7];
+ a7ddrphy_dfi_p3_rddata[10] <= a7ddrphy_bitslip10_o[6];
+ a7ddrphy_dfi_p3_rddata[26] <= a7ddrphy_bitslip10_o[7];
+ a7ddrphy_dfi_p3_rddata[11] <= a7ddrphy_bitslip11_o[6];
+ a7ddrphy_dfi_p3_rddata[27] <= a7ddrphy_bitslip11_o[7];
+ a7ddrphy_dfi_p3_rddata[12] <= a7ddrphy_bitslip12_o[6];
+ a7ddrphy_dfi_p3_rddata[28] <= a7ddrphy_bitslip12_o[7];
+ a7ddrphy_dfi_p3_rddata[13] <= a7ddrphy_bitslip13_o[6];
+ a7ddrphy_dfi_p3_rddata[29] <= a7ddrphy_bitslip13_o[7];
+ a7ddrphy_dfi_p3_rddata[14] <= a7ddrphy_bitslip14_o[6];
+ a7ddrphy_dfi_p3_rddata[30] <= a7ddrphy_bitslip14_o[7];
+ a7ddrphy_dfi_p3_rddata[15] <= a7ddrphy_bitslip15_o[6];
+ a7ddrphy_dfi_p3_rddata[31] <= a7ddrphy_bitslip15_o[7];
// synthesis translate_off
dummy_d_3 = dummy_s;
// synthesis translate_on
end
+assign a7ddrphy_bitslip1_i = a7ddrphy_dq_i_data1;
+assign a7ddrphy_bitslip2_i = a7ddrphy_dq_i_data2;
+assign a7ddrphy_bitslip3_i = a7ddrphy_dq_i_data3;
+assign a7ddrphy_bitslip4_i = a7ddrphy_dq_i_data4;
+assign a7ddrphy_bitslip5_i = a7ddrphy_dq_i_data5;
+assign a7ddrphy_bitslip6_i = a7ddrphy_dq_i_data6;
+assign a7ddrphy_bitslip7_i = a7ddrphy_dq_i_data7;
+assign a7ddrphy_bitslip8_i = a7ddrphy_dq_i_data8;
+assign a7ddrphy_bitslip9_i = a7ddrphy_dq_i_data9;
+assign a7ddrphy_bitslip10_i = a7ddrphy_dq_i_data10;
+assign a7ddrphy_bitslip11_i = a7ddrphy_dq_i_data11;
+assign a7ddrphy_bitslip12_i = a7ddrphy_dq_i_data12;
+assign a7ddrphy_bitslip13_i = a7ddrphy_dq_i_data13;
+assign a7ddrphy_bitslip14_i = a7ddrphy_dq_i_data14;
+assign a7ddrphy_bitslip15_i = a7ddrphy_dq_i_data15;
+assign a7ddrphy_rddata_en = {a7ddrphy_rddata_en_last, a7ddrphy_dfi_p2_rddata_en};
+assign a7ddrphy_wrdata_en = {a7ddrphy_wrdata_en_last, a7ddrphy_dfi_p3_wrdata_en};
+assign a7ddrphy_dq_oe = a7ddrphy_wrdata_en[2];
// synthesis translate_off
reg dummy_d_4;
// synthesis translate_on
always @(*) begin
- soc_litedramcore_uart_eventmanager_pending_w <= 2'd0;
- soc_litedramcore_uart_eventmanager_pending_w[0] <= soc_litedramcore_uart_tx_pending;
- soc_litedramcore_uart_eventmanager_pending_w[1] <= soc_litedramcore_uart_rx_pending;
-// synthesis translate_off
- dummy_d_4 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_5;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_uart_rx_clear <= 1'd0;
- if ((soc_litedramcore_uart_eventmanager_pending_re & soc_litedramcore_uart_eventmanager_pending_r[1])) begin
- soc_litedramcore_uart_rx_clear <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_5 = dummy_s;
-// synthesis translate_on
-end
-assign soc_litedramcore_uart_irq = ((soc_litedramcore_uart_eventmanager_pending_w[0] & soc_litedramcore_uart_eventmanager_storage[0]) | (soc_litedramcore_uart_eventmanager_pending_w[1] & soc_litedramcore_uart_eventmanager_storage[1]));
-assign soc_litedramcore_uart_tx_status = soc_litedramcore_uart_tx_trigger;
-assign soc_litedramcore_uart_rx_status = soc_litedramcore_uart_rx_trigger;
-assign soc_litedramcore_uart_tx_fifo_syncfifo_din = {soc_litedramcore_uart_tx_fifo_fifo_in_last, soc_litedramcore_uart_tx_fifo_fifo_in_first, soc_litedramcore_uart_tx_fifo_fifo_in_payload_data};
-assign {soc_litedramcore_uart_tx_fifo_fifo_out_last, soc_litedramcore_uart_tx_fifo_fifo_out_first, soc_litedramcore_uart_tx_fifo_fifo_out_payload_data} = soc_litedramcore_uart_tx_fifo_syncfifo_dout;
-assign {soc_litedramcore_uart_tx_fifo_fifo_out_last, soc_litedramcore_uart_tx_fifo_fifo_out_first, soc_litedramcore_uart_tx_fifo_fifo_out_payload_data} = soc_litedramcore_uart_tx_fifo_syncfifo_dout;
-assign {soc_litedramcore_uart_tx_fifo_fifo_out_last, soc_litedramcore_uart_tx_fifo_fifo_out_first, soc_litedramcore_uart_tx_fifo_fifo_out_payload_data} = soc_litedramcore_uart_tx_fifo_syncfifo_dout;
-assign soc_litedramcore_uart_tx_fifo_sink_ready = soc_litedramcore_uart_tx_fifo_syncfifo_writable;
-assign soc_litedramcore_uart_tx_fifo_syncfifo_we = soc_litedramcore_uart_tx_fifo_sink_valid;
-assign soc_litedramcore_uart_tx_fifo_fifo_in_first = soc_litedramcore_uart_tx_fifo_sink_first;
-assign soc_litedramcore_uart_tx_fifo_fifo_in_last = soc_litedramcore_uart_tx_fifo_sink_last;
-assign soc_litedramcore_uart_tx_fifo_fifo_in_payload_data = soc_litedramcore_uart_tx_fifo_sink_payload_data;
-assign soc_litedramcore_uart_tx_fifo_source_valid = soc_litedramcore_uart_tx_fifo_readable;
-assign soc_litedramcore_uart_tx_fifo_source_first = soc_litedramcore_uart_tx_fifo_fifo_out_first;
-assign soc_litedramcore_uart_tx_fifo_source_last = soc_litedramcore_uart_tx_fifo_fifo_out_last;
-assign soc_litedramcore_uart_tx_fifo_source_payload_data = soc_litedramcore_uart_tx_fifo_fifo_out_payload_data;
-assign soc_litedramcore_uart_tx_fifo_re = soc_litedramcore_uart_tx_fifo_source_ready;
-assign soc_litedramcore_uart_tx_fifo_syncfifo_re = (soc_litedramcore_uart_tx_fifo_syncfifo_readable & ((~soc_litedramcore_uart_tx_fifo_readable) | soc_litedramcore_uart_tx_fifo_re));
-assign soc_litedramcore_uart_tx_fifo_level1 = (soc_litedramcore_uart_tx_fifo_level0 + soc_litedramcore_uart_tx_fifo_readable);
-
-// synthesis translate_off
-reg dummy_d_6;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_uart_tx_fifo_wrport_adr <= 4'd0;
- if (soc_litedramcore_uart_tx_fifo_replace) begin
- soc_litedramcore_uart_tx_fifo_wrport_adr <= (soc_litedramcore_uart_tx_fifo_produce - 1'd1);
- end else begin
- soc_litedramcore_uart_tx_fifo_wrport_adr <= soc_litedramcore_uart_tx_fifo_produce;
- end
-// synthesis translate_off
- dummy_d_6 = dummy_s;
-// synthesis translate_on
-end
-assign soc_litedramcore_uart_tx_fifo_wrport_dat_w = soc_litedramcore_uart_tx_fifo_syncfifo_din;
-assign soc_litedramcore_uart_tx_fifo_wrport_we = (soc_litedramcore_uart_tx_fifo_syncfifo_we & (soc_litedramcore_uart_tx_fifo_syncfifo_writable | soc_litedramcore_uart_tx_fifo_replace));
-assign soc_litedramcore_uart_tx_fifo_do_read = (soc_litedramcore_uart_tx_fifo_syncfifo_readable & soc_litedramcore_uart_tx_fifo_syncfifo_re);
-assign soc_litedramcore_uart_tx_fifo_rdport_adr = soc_litedramcore_uart_tx_fifo_consume;
-assign soc_litedramcore_uart_tx_fifo_syncfifo_dout = soc_litedramcore_uart_tx_fifo_rdport_dat_r;
-assign soc_litedramcore_uart_tx_fifo_rdport_re = soc_litedramcore_uart_tx_fifo_do_read;
-assign soc_litedramcore_uart_tx_fifo_syncfifo_writable = (soc_litedramcore_uart_tx_fifo_level0 != 5'd16);
-assign soc_litedramcore_uart_tx_fifo_syncfifo_readable = (soc_litedramcore_uart_tx_fifo_level0 != 1'd0);
-assign soc_litedramcore_uart_rx_fifo_syncfifo_din = {soc_litedramcore_uart_rx_fifo_fifo_in_last, soc_litedramcore_uart_rx_fifo_fifo_in_first, soc_litedramcore_uart_rx_fifo_fifo_in_payload_data};
-assign {soc_litedramcore_uart_rx_fifo_fifo_out_last, soc_litedramcore_uart_rx_fifo_fifo_out_first, soc_litedramcore_uart_rx_fifo_fifo_out_payload_data} = soc_litedramcore_uart_rx_fifo_syncfifo_dout;
-assign {soc_litedramcore_uart_rx_fifo_fifo_out_last, soc_litedramcore_uart_rx_fifo_fifo_out_first, soc_litedramcore_uart_rx_fifo_fifo_out_payload_data} = soc_litedramcore_uart_rx_fifo_syncfifo_dout;
-assign {soc_litedramcore_uart_rx_fifo_fifo_out_last, soc_litedramcore_uart_rx_fifo_fifo_out_first, soc_litedramcore_uart_rx_fifo_fifo_out_payload_data} = soc_litedramcore_uart_rx_fifo_syncfifo_dout;
-assign soc_litedramcore_uart_rx_fifo_sink_ready = soc_litedramcore_uart_rx_fifo_syncfifo_writable;
-assign soc_litedramcore_uart_rx_fifo_syncfifo_we = soc_litedramcore_uart_rx_fifo_sink_valid;
-assign soc_litedramcore_uart_rx_fifo_fifo_in_first = soc_litedramcore_uart_rx_fifo_sink_first;
-assign soc_litedramcore_uart_rx_fifo_fifo_in_last = soc_litedramcore_uart_rx_fifo_sink_last;
-assign soc_litedramcore_uart_rx_fifo_fifo_in_payload_data = soc_litedramcore_uart_rx_fifo_sink_payload_data;
-assign soc_litedramcore_uart_rx_fifo_source_valid = soc_litedramcore_uart_rx_fifo_readable;
-assign soc_litedramcore_uart_rx_fifo_source_first = soc_litedramcore_uart_rx_fifo_fifo_out_first;
-assign soc_litedramcore_uart_rx_fifo_source_last = soc_litedramcore_uart_rx_fifo_fifo_out_last;
-assign soc_litedramcore_uart_rx_fifo_source_payload_data = soc_litedramcore_uart_rx_fifo_fifo_out_payload_data;
-assign soc_litedramcore_uart_rx_fifo_re = soc_litedramcore_uart_rx_fifo_source_ready;
-assign soc_litedramcore_uart_rx_fifo_syncfifo_re = (soc_litedramcore_uart_rx_fifo_syncfifo_readable & ((~soc_litedramcore_uart_rx_fifo_readable) | soc_litedramcore_uart_rx_fifo_re));
-assign soc_litedramcore_uart_rx_fifo_level1 = (soc_litedramcore_uart_rx_fifo_level0 + soc_litedramcore_uart_rx_fifo_readable);
-
-// synthesis translate_off
-reg dummy_d_7;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_uart_rx_fifo_wrport_adr <= 4'd0;
- if (soc_litedramcore_uart_rx_fifo_replace) begin
- soc_litedramcore_uart_rx_fifo_wrport_adr <= (soc_litedramcore_uart_rx_fifo_produce - 1'd1);
- end else begin
- soc_litedramcore_uart_rx_fifo_wrport_adr <= soc_litedramcore_uart_rx_fifo_produce;
- end
-// synthesis translate_off
- dummy_d_7 = dummy_s;
-// synthesis translate_on
-end
-assign soc_litedramcore_uart_rx_fifo_wrport_dat_w = soc_litedramcore_uart_rx_fifo_syncfifo_din;
-assign soc_litedramcore_uart_rx_fifo_wrport_we = (soc_litedramcore_uart_rx_fifo_syncfifo_we & (soc_litedramcore_uart_rx_fifo_syncfifo_writable | soc_litedramcore_uart_rx_fifo_replace));
-assign soc_litedramcore_uart_rx_fifo_do_read = (soc_litedramcore_uart_rx_fifo_syncfifo_readable & soc_litedramcore_uart_rx_fifo_syncfifo_re);
-assign soc_litedramcore_uart_rx_fifo_rdport_adr = soc_litedramcore_uart_rx_fifo_consume;
-assign soc_litedramcore_uart_rx_fifo_syncfifo_dout = soc_litedramcore_uart_rx_fifo_rdport_dat_r;
-assign soc_litedramcore_uart_rx_fifo_rdport_re = soc_litedramcore_uart_rx_fifo_do_read;
-assign soc_litedramcore_uart_rx_fifo_syncfifo_writable = (soc_litedramcore_uart_rx_fifo_level0 != 5'd16);
-assign soc_litedramcore_uart_rx_fifo_syncfifo_readable = (soc_litedramcore_uart_rx_fifo_level0 != 1'd0);
-assign soc_litedramcore_timer_zero_trigger = (soc_litedramcore_timer_value != 1'd0);
-assign soc_litedramcore_timer_eventmanager_status_w = soc_litedramcore_timer_zero_status;
-
-// synthesis translate_off
-reg dummy_d_8;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_timer_zero_clear <= 1'd0;
- if ((soc_litedramcore_timer_eventmanager_pending_re & soc_litedramcore_timer_eventmanager_pending_r)) begin
- soc_litedramcore_timer_zero_clear <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_8 = dummy_s;
-// synthesis translate_on
-end
-assign soc_litedramcore_timer_eventmanager_pending_w = soc_litedramcore_timer_zero_pending;
-assign soc_litedramcore_timer_irq = (soc_litedramcore_timer_eventmanager_pending_w & soc_litedramcore_timer_eventmanager_storage);
-assign soc_litedramcore_timer_zero_status = soc_litedramcore_timer_zero_trigger;
-assign soc_litedramcore_interface_dat_w = soc_litedramcore_bus_wishbone_dat_w;
-assign soc_litedramcore_bus_wishbone_dat_r = soc_litedramcore_interface_dat_r;
-
-// synthesis translate_off
-reg dummy_d_9;
-// synthesis translate_on
-always @(*) begin
- vns_wb2csr_next_state <= 1'd0;
- vns_wb2csr_next_state <= vns_wb2csr_state;
- case (vns_wb2csr_state)
- 1'd1: begin
- vns_wb2csr_next_state <= 1'd0;
- end
- default: begin
- if ((soc_litedramcore_bus_wishbone_cyc & soc_litedramcore_bus_wishbone_stb)) begin
- vns_wb2csr_next_state <= 1'd1;
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_9 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_10;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_interface_we <= 1'd0;
- case (vns_wb2csr_state)
- 1'd1: begin
- end
- default: begin
- if ((soc_litedramcore_bus_wishbone_cyc & soc_litedramcore_bus_wishbone_stb)) begin
- soc_litedramcore_interface_we <= soc_litedramcore_bus_wishbone_we;
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_10 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_11;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_bus_wishbone_ack <= 1'd0;
- case (vns_wb2csr_state)
- 1'd1: begin
- soc_litedramcore_bus_wishbone_ack <= 1'd1;
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_11 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_12;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_interface_adr <= 14'd0;
- case (vns_wb2csr_state)
- 1'd1: begin
- end
- default: begin
- if ((soc_litedramcore_bus_wishbone_cyc & soc_litedramcore_bus_wishbone_stb)) begin
- soc_litedramcore_interface_adr <= soc_litedramcore_bus_wishbone_adr;
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_12 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sys_pll_reset = rst;
-assign pll_locked = soc_sys_pll_locked;
-assign soc_iodelay_pll_reset = rst;
-assign soc_s7pll0_clkin = clk;
-assign sys_clk = soc_s7pll0_clkout_buf0;
-assign sys4x_clk = soc_s7pll0_clkout_buf1;
-assign sys4x_dqs_clk = soc_s7pll0_clkout_buf2;
-assign soc_s7pll1_clkin = clk;
-assign iodelay_clk = soc_s7pll1_clkout_buf;
-assign soc_a7ddrphy_bitslip0_i = soc_a7ddrphy_dq_i_data0;
-
-// synthesis translate_off
-reg dummy_d_13;
-// synthesis translate_on
-always @(*) begin
- soc_a7ddrphy_dfi_p0_rddata <= 32'd0;
- soc_a7ddrphy_dfi_p0_rddata[0] <= soc_a7ddrphy_bitslip0_o[0];
- soc_a7ddrphy_dfi_p0_rddata[16] <= soc_a7ddrphy_bitslip0_o[1];
- soc_a7ddrphy_dfi_p0_rddata[1] <= soc_a7ddrphy_bitslip1_o[0];
- soc_a7ddrphy_dfi_p0_rddata[17] <= soc_a7ddrphy_bitslip1_o[1];
- soc_a7ddrphy_dfi_p0_rddata[2] <= soc_a7ddrphy_bitslip2_o[0];
- soc_a7ddrphy_dfi_p0_rddata[18] <= soc_a7ddrphy_bitslip2_o[1];
- soc_a7ddrphy_dfi_p0_rddata[3] <= soc_a7ddrphy_bitslip3_o[0];
- soc_a7ddrphy_dfi_p0_rddata[19] <= soc_a7ddrphy_bitslip3_o[1];
- soc_a7ddrphy_dfi_p0_rddata[4] <= soc_a7ddrphy_bitslip4_o[0];
- soc_a7ddrphy_dfi_p0_rddata[20] <= soc_a7ddrphy_bitslip4_o[1];
- soc_a7ddrphy_dfi_p0_rddata[5] <= soc_a7ddrphy_bitslip5_o[0];
- soc_a7ddrphy_dfi_p0_rddata[21] <= soc_a7ddrphy_bitslip5_o[1];
- soc_a7ddrphy_dfi_p0_rddata[6] <= soc_a7ddrphy_bitslip6_o[0];
- soc_a7ddrphy_dfi_p0_rddata[22] <= soc_a7ddrphy_bitslip6_o[1];
- soc_a7ddrphy_dfi_p0_rddata[7] <= soc_a7ddrphy_bitslip7_o[0];
- soc_a7ddrphy_dfi_p0_rddata[23] <= soc_a7ddrphy_bitslip7_o[1];
- soc_a7ddrphy_dfi_p0_rddata[8] <= soc_a7ddrphy_bitslip8_o[0];
- soc_a7ddrphy_dfi_p0_rddata[24] <= soc_a7ddrphy_bitslip8_o[1];
- soc_a7ddrphy_dfi_p0_rddata[9] <= soc_a7ddrphy_bitslip9_o[0];
- soc_a7ddrphy_dfi_p0_rddata[25] <= soc_a7ddrphy_bitslip9_o[1];
- soc_a7ddrphy_dfi_p0_rddata[10] <= soc_a7ddrphy_bitslip10_o[0];
- soc_a7ddrphy_dfi_p0_rddata[26] <= soc_a7ddrphy_bitslip10_o[1];
- soc_a7ddrphy_dfi_p0_rddata[11] <= soc_a7ddrphy_bitslip11_o[0];
- soc_a7ddrphy_dfi_p0_rddata[27] <= soc_a7ddrphy_bitslip11_o[1];
- soc_a7ddrphy_dfi_p0_rddata[12] <= soc_a7ddrphy_bitslip12_o[0];
- soc_a7ddrphy_dfi_p0_rddata[28] <= soc_a7ddrphy_bitslip12_o[1];
- soc_a7ddrphy_dfi_p0_rddata[13] <= soc_a7ddrphy_bitslip13_o[0];
- soc_a7ddrphy_dfi_p0_rddata[29] <= soc_a7ddrphy_bitslip13_o[1];
- soc_a7ddrphy_dfi_p0_rddata[14] <= soc_a7ddrphy_bitslip14_o[0];
- soc_a7ddrphy_dfi_p0_rddata[30] <= soc_a7ddrphy_bitslip14_o[1];
- soc_a7ddrphy_dfi_p0_rddata[15] <= soc_a7ddrphy_bitslip15_o[0];
- soc_a7ddrphy_dfi_p0_rddata[31] <= soc_a7ddrphy_bitslip15_o[1];
-// synthesis translate_off
- dummy_d_13 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_14;
-// synthesis translate_on
-always @(*) begin
- soc_a7ddrphy_dfi_p1_rddata <= 32'd0;
- soc_a7ddrphy_dfi_p1_rddata[0] <= soc_a7ddrphy_bitslip0_o[2];
- soc_a7ddrphy_dfi_p1_rddata[16] <= soc_a7ddrphy_bitslip0_o[3];
- soc_a7ddrphy_dfi_p1_rddata[1] <= soc_a7ddrphy_bitslip1_o[2];
- soc_a7ddrphy_dfi_p1_rddata[17] <= soc_a7ddrphy_bitslip1_o[3];
- soc_a7ddrphy_dfi_p1_rddata[2] <= soc_a7ddrphy_bitslip2_o[2];
- soc_a7ddrphy_dfi_p1_rddata[18] <= soc_a7ddrphy_bitslip2_o[3];
- soc_a7ddrphy_dfi_p1_rddata[3] <= soc_a7ddrphy_bitslip3_o[2];
- soc_a7ddrphy_dfi_p1_rddata[19] <= soc_a7ddrphy_bitslip3_o[3];
- soc_a7ddrphy_dfi_p1_rddata[4] <= soc_a7ddrphy_bitslip4_o[2];
- soc_a7ddrphy_dfi_p1_rddata[20] <= soc_a7ddrphy_bitslip4_o[3];
- soc_a7ddrphy_dfi_p1_rddata[5] <= soc_a7ddrphy_bitslip5_o[2];
- soc_a7ddrphy_dfi_p1_rddata[21] <= soc_a7ddrphy_bitslip5_o[3];
- soc_a7ddrphy_dfi_p1_rddata[6] <= soc_a7ddrphy_bitslip6_o[2];
- soc_a7ddrphy_dfi_p1_rddata[22] <= soc_a7ddrphy_bitslip6_o[3];
- soc_a7ddrphy_dfi_p1_rddata[7] <= soc_a7ddrphy_bitslip7_o[2];
- soc_a7ddrphy_dfi_p1_rddata[23] <= soc_a7ddrphy_bitslip7_o[3];
- soc_a7ddrphy_dfi_p1_rddata[8] <= soc_a7ddrphy_bitslip8_o[2];
- soc_a7ddrphy_dfi_p1_rddata[24] <= soc_a7ddrphy_bitslip8_o[3];
- soc_a7ddrphy_dfi_p1_rddata[9] <= soc_a7ddrphy_bitslip9_o[2];
- soc_a7ddrphy_dfi_p1_rddata[25] <= soc_a7ddrphy_bitslip9_o[3];
- soc_a7ddrphy_dfi_p1_rddata[10] <= soc_a7ddrphy_bitslip10_o[2];
- soc_a7ddrphy_dfi_p1_rddata[26] <= soc_a7ddrphy_bitslip10_o[3];
- soc_a7ddrphy_dfi_p1_rddata[11] <= soc_a7ddrphy_bitslip11_o[2];
- soc_a7ddrphy_dfi_p1_rddata[27] <= soc_a7ddrphy_bitslip11_o[3];
- soc_a7ddrphy_dfi_p1_rddata[12] <= soc_a7ddrphy_bitslip12_o[2];
- soc_a7ddrphy_dfi_p1_rddata[28] <= soc_a7ddrphy_bitslip12_o[3];
- soc_a7ddrphy_dfi_p1_rddata[13] <= soc_a7ddrphy_bitslip13_o[2];
- soc_a7ddrphy_dfi_p1_rddata[29] <= soc_a7ddrphy_bitslip13_o[3];
- soc_a7ddrphy_dfi_p1_rddata[14] <= soc_a7ddrphy_bitslip14_o[2];
- soc_a7ddrphy_dfi_p1_rddata[30] <= soc_a7ddrphy_bitslip14_o[3];
- soc_a7ddrphy_dfi_p1_rddata[15] <= soc_a7ddrphy_bitslip15_o[2];
- soc_a7ddrphy_dfi_p1_rddata[31] <= soc_a7ddrphy_bitslip15_o[3];
-// synthesis translate_off
- dummy_d_14 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_15;
-// synthesis translate_on
-always @(*) begin
- soc_a7ddrphy_dfi_p2_rddata <= 32'd0;
- soc_a7ddrphy_dfi_p2_rddata[0] <= soc_a7ddrphy_bitslip0_o[4];
- soc_a7ddrphy_dfi_p2_rddata[16] <= soc_a7ddrphy_bitslip0_o[5];
- soc_a7ddrphy_dfi_p2_rddata[1] <= soc_a7ddrphy_bitslip1_o[4];
- soc_a7ddrphy_dfi_p2_rddata[17] <= soc_a7ddrphy_bitslip1_o[5];
- soc_a7ddrphy_dfi_p2_rddata[2] <= soc_a7ddrphy_bitslip2_o[4];
- soc_a7ddrphy_dfi_p2_rddata[18] <= soc_a7ddrphy_bitslip2_o[5];
- soc_a7ddrphy_dfi_p2_rddata[3] <= soc_a7ddrphy_bitslip3_o[4];
- soc_a7ddrphy_dfi_p2_rddata[19] <= soc_a7ddrphy_bitslip3_o[5];
- soc_a7ddrphy_dfi_p2_rddata[4] <= soc_a7ddrphy_bitslip4_o[4];
- soc_a7ddrphy_dfi_p2_rddata[20] <= soc_a7ddrphy_bitslip4_o[5];
- soc_a7ddrphy_dfi_p2_rddata[5] <= soc_a7ddrphy_bitslip5_o[4];
- soc_a7ddrphy_dfi_p2_rddata[21] <= soc_a7ddrphy_bitslip5_o[5];
- soc_a7ddrphy_dfi_p2_rddata[6] <= soc_a7ddrphy_bitslip6_o[4];
- soc_a7ddrphy_dfi_p2_rddata[22] <= soc_a7ddrphy_bitslip6_o[5];
- soc_a7ddrphy_dfi_p2_rddata[7] <= soc_a7ddrphy_bitslip7_o[4];
- soc_a7ddrphy_dfi_p2_rddata[23] <= soc_a7ddrphy_bitslip7_o[5];
- soc_a7ddrphy_dfi_p2_rddata[8] <= soc_a7ddrphy_bitslip8_o[4];
- soc_a7ddrphy_dfi_p2_rddata[24] <= soc_a7ddrphy_bitslip8_o[5];
- soc_a7ddrphy_dfi_p2_rddata[9] <= soc_a7ddrphy_bitslip9_o[4];
- soc_a7ddrphy_dfi_p2_rddata[25] <= soc_a7ddrphy_bitslip9_o[5];
- soc_a7ddrphy_dfi_p2_rddata[10] <= soc_a7ddrphy_bitslip10_o[4];
- soc_a7ddrphy_dfi_p2_rddata[26] <= soc_a7ddrphy_bitslip10_o[5];
- soc_a7ddrphy_dfi_p2_rddata[11] <= soc_a7ddrphy_bitslip11_o[4];
- soc_a7ddrphy_dfi_p2_rddata[27] <= soc_a7ddrphy_bitslip11_o[5];
- soc_a7ddrphy_dfi_p2_rddata[12] <= soc_a7ddrphy_bitslip12_o[4];
- soc_a7ddrphy_dfi_p2_rddata[28] <= soc_a7ddrphy_bitslip12_o[5];
- soc_a7ddrphy_dfi_p2_rddata[13] <= soc_a7ddrphy_bitslip13_o[4];
- soc_a7ddrphy_dfi_p2_rddata[29] <= soc_a7ddrphy_bitslip13_o[5];
- soc_a7ddrphy_dfi_p2_rddata[14] <= soc_a7ddrphy_bitslip14_o[4];
- soc_a7ddrphy_dfi_p2_rddata[30] <= soc_a7ddrphy_bitslip14_o[5];
- soc_a7ddrphy_dfi_p2_rddata[15] <= soc_a7ddrphy_bitslip15_o[4];
- soc_a7ddrphy_dfi_p2_rddata[31] <= soc_a7ddrphy_bitslip15_o[5];
-// synthesis translate_off
- dummy_d_15 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_16;
-// synthesis translate_on
-always @(*) begin
- soc_a7ddrphy_dfi_p3_rddata <= 32'd0;
- soc_a7ddrphy_dfi_p3_rddata[0] <= soc_a7ddrphy_bitslip0_o[6];
- soc_a7ddrphy_dfi_p3_rddata[16] <= soc_a7ddrphy_bitslip0_o[7];
- soc_a7ddrphy_dfi_p3_rddata[1] <= soc_a7ddrphy_bitslip1_o[6];
- soc_a7ddrphy_dfi_p3_rddata[17] <= soc_a7ddrphy_bitslip1_o[7];
- soc_a7ddrphy_dfi_p3_rddata[2] <= soc_a7ddrphy_bitslip2_o[6];
- soc_a7ddrphy_dfi_p3_rddata[18] <= soc_a7ddrphy_bitslip2_o[7];
- soc_a7ddrphy_dfi_p3_rddata[3] <= soc_a7ddrphy_bitslip3_o[6];
- soc_a7ddrphy_dfi_p3_rddata[19] <= soc_a7ddrphy_bitslip3_o[7];
- soc_a7ddrphy_dfi_p3_rddata[4] <= soc_a7ddrphy_bitslip4_o[6];
- soc_a7ddrphy_dfi_p3_rddata[20] <= soc_a7ddrphy_bitslip4_o[7];
- soc_a7ddrphy_dfi_p3_rddata[5] <= soc_a7ddrphy_bitslip5_o[6];
- soc_a7ddrphy_dfi_p3_rddata[21] <= soc_a7ddrphy_bitslip5_o[7];
- soc_a7ddrphy_dfi_p3_rddata[6] <= soc_a7ddrphy_bitslip6_o[6];
- soc_a7ddrphy_dfi_p3_rddata[22] <= soc_a7ddrphy_bitslip6_o[7];
- soc_a7ddrphy_dfi_p3_rddata[7] <= soc_a7ddrphy_bitslip7_o[6];
- soc_a7ddrphy_dfi_p3_rddata[23] <= soc_a7ddrphy_bitslip7_o[7];
- soc_a7ddrphy_dfi_p3_rddata[8] <= soc_a7ddrphy_bitslip8_o[6];
- soc_a7ddrphy_dfi_p3_rddata[24] <= soc_a7ddrphy_bitslip8_o[7];
- soc_a7ddrphy_dfi_p3_rddata[9] <= soc_a7ddrphy_bitslip9_o[6];
- soc_a7ddrphy_dfi_p3_rddata[25] <= soc_a7ddrphy_bitslip9_o[7];
- soc_a7ddrphy_dfi_p3_rddata[10] <= soc_a7ddrphy_bitslip10_o[6];
- soc_a7ddrphy_dfi_p3_rddata[26] <= soc_a7ddrphy_bitslip10_o[7];
- soc_a7ddrphy_dfi_p3_rddata[11] <= soc_a7ddrphy_bitslip11_o[6];
- soc_a7ddrphy_dfi_p3_rddata[27] <= soc_a7ddrphy_bitslip11_o[7];
- soc_a7ddrphy_dfi_p3_rddata[12] <= soc_a7ddrphy_bitslip12_o[6];
- soc_a7ddrphy_dfi_p3_rddata[28] <= soc_a7ddrphy_bitslip12_o[7];
- soc_a7ddrphy_dfi_p3_rddata[13] <= soc_a7ddrphy_bitslip13_o[6];
- soc_a7ddrphy_dfi_p3_rddata[29] <= soc_a7ddrphy_bitslip13_o[7];
- soc_a7ddrphy_dfi_p3_rddata[14] <= soc_a7ddrphy_bitslip14_o[6];
- soc_a7ddrphy_dfi_p3_rddata[30] <= soc_a7ddrphy_bitslip14_o[7];
- soc_a7ddrphy_dfi_p3_rddata[15] <= soc_a7ddrphy_bitslip15_o[6];
- soc_a7ddrphy_dfi_p3_rddata[31] <= soc_a7ddrphy_bitslip15_o[7];
-// synthesis translate_off
- dummy_d_16 = dummy_s;
-// synthesis translate_on
-end
-assign soc_a7ddrphy_bitslip1_i = soc_a7ddrphy_dq_i_data1;
-assign soc_a7ddrphy_bitslip2_i = soc_a7ddrphy_dq_i_data2;
-assign soc_a7ddrphy_bitslip3_i = soc_a7ddrphy_dq_i_data3;
-assign soc_a7ddrphy_bitslip4_i = soc_a7ddrphy_dq_i_data4;
-assign soc_a7ddrphy_bitslip5_i = soc_a7ddrphy_dq_i_data5;
-assign soc_a7ddrphy_bitslip6_i = soc_a7ddrphy_dq_i_data6;
-assign soc_a7ddrphy_bitslip7_i = soc_a7ddrphy_dq_i_data7;
-assign soc_a7ddrphy_bitslip8_i = soc_a7ddrphy_dq_i_data8;
-assign soc_a7ddrphy_bitslip9_i = soc_a7ddrphy_dq_i_data9;
-assign soc_a7ddrphy_bitslip10_i = soc_a7ddrphy_dq_i_data10;
-assign soc_a7ddrphy_bitslip11_i = soc_a7ddrphy_dq_i_data11;
-assign soc_a7ddrphy_bitslip12_i = soc_a7ddrphy_dq_i_data12;
-assign soc_a7ddrphy_bitslip13_i = soc_a7ddrphy_dq_i_data13;
-assign soc_a7ddrphy_bitslip14_i = soc_a7ddrphy_dq_i_data14;
-assign soc_a7ddrphy_bitslip15_i = soc_a7ddrphy_dq_i_data15;
-assign soc_a7ddrphy_rddata_en = {soc_a7ddrphy_rddata_en_last, soc_a7ddrphy_dfi_p2_rddata_en};
-assign soc_a7ddrphy_wrdata_en = {soc_a7ddrphy_wrdata_en_last, soc_a7ddrphy_dfi_p3_wrdata_en};
-assign soc_a7ddrphy_dq_oe = soc_a7ddrphy_wrdata_en[2];
-
-// synthesis translate_off
-reg dummy_d_17;
-// synthesis translate_on
-always @(*) begin
- soc_a7ddrphy_dqs_oe <= 1'd0;
- if (soc_a7ddrphy_wlevel_en_storage) begin
- soc_a7ddrphy_dqs_oe <= 1'd1;
+ a7ddrphy_dqs_oe <= 1'd0;
+ if (a7ddrphy_wlevel_en_storage) begin
+ a7ddrphy_dqs_oe <= 1'd1;
end else begin
- soc_a7ddrphy_dqs_oe <= soc_a7ddrphy_dq_oe;
+ a7ddrphy_dqs_oe <= a7ddrphy_dq_oe;
end
// synthesis translate_off
- dummy_d_17 = dummy_s;
+ dummy_d_4 = dummy_s;
// synthesis translate_on
end
-assign soc_a7ddrphy_dqspattern0 = (soc_a7ddrphy_wrdata_en[1] & (~soc_a7ddrphy_wrdata_en[2]));
-assign soc_a7ddrphy_dqspattern1 = (soc_a7ddrphy_wrdata_en[3] & (~soc_a7ddrphy_wrdata_en[2]));
+assign a7ddrphy_dqspattern0 = (a7ddrphy_wrdata_en[1] & (~a7ddrphy_wrdata_en[2]));
+assign a7ddrphy_dqspattern1 = (a7ddrphy_wrdata_en[3] & (~a7ddrphy_wrdata_en[2]));
// synthesis translate_off
-reg dummy_d_18;
+reg dummy_d_5;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_dqspattern_o0 <= 8'd0;
- soc_a7ddrphy_dqspattern_o0 <= 7'd85;
- if (soc_a7ddrphy_dqspattern0) begin
- soc_a7ddrphy_dqspattern_o0 <= 5'd21;
+ a7ddrphy_dqspattern_o0 <= 8'd0;
+ a7ddrphy_dqspattern_o0 <= 7'd85;
+ if (a7ddrphy_dqspattern0) begin
+ a7ddrphy_dqspattern_o0 <= 5'd21;
end
- if (soc_a7ddrphy_dqspattern1) begin
- soc_a7ddrphy_dqspattern_o0 <= 7'd84;
+ if (a7ddrphy_dqspattern1) begin
+ a7ddrphy_dqspattern_o0 <= 7'd84;
end
- if (soc_a7ddrphy_wlevel_en_storage) begin
- soc_a7ddrphy_dqspattern_o0 <= 1'd0;
- if (soc_a7ddrphy_wlevel_strobe_re) begin
- soc_a7ddrphy_dqspattern_o0 <= 1'd1;
+ if (a7ddrphy_wlevel_en_storage) begin
+ a7ddrphy_dqspattern_o0 <= 1'd0;
+ if (a7ddrphy_wlevel_strobe_re) begin
+ a7ddrphy_dqspattern_o0 <= 1'd1;
end
end
// synthesis translate_off
- dummy_d_18 = dummy_s;
+ dummy_d_5 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_19;
+reg dummy_d_6;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip0_o <= 8'd0;
- case (soc_a7ddrphy_bitslip0_value)
+ a7ddrphy_bitslip0_o <= 8'd0;
+ case (a7ddrphy_bitslip0_value)
1'd0: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[7:0];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[8:1];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[9:2];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[10:3];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[11:4];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[12:5];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[13:6];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[14:7];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_19 = dummy_s;
+ dummy_d_6 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_20;
+reg dummy_d_7;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip1_o <= 8'd0;
- case (soc_a7ddrphy_bitslip1_value)
+ a7ddrphy_bitslip1_o <= 8'd0;
+ case (a7ddrphy_bitslip1_value)
1'd0: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[7:0];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[8:1];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[9:2];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[10:3];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[11:4];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[12:5];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[13:6];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[14:7];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_20 = dummy_s;
+ dummy_d_7 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_21;
+reg dummy_d_8;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip2_o <= 8'd0;
- case (soc_a7ddrphy_bitslip2_value)
+ a7ddrphy_bitslip2_o <= 8'd0;
+ case (a7ddrphy_bitslip2_value)
1'd0: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[7:0];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[8:1];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[9:2];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[10:3];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[11:4];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[12:5];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[13:6];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[14:7];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_21 = dummy_s;
+ dummy_d_8 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_22;
+reg dummy_d_9;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip3_o <= 8'd0;
- case (soc_a7ddrphy_bitslip3_value)
+ a7ddrphy_bitslip3_o <= 8'd0;
+ case (a7ddrphy_bitslip3_value)
1'd0: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[7:0];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[8:1];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[9:2];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[10:3];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[11:4];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[12:5];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[13:6];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[14:7];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_22 = dummy_s;
+ dummy_d_9 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_23;
+reg dummy_d_10;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip4_o <= 8'd0;
- case (soc_a7ddrphy_bitslip4_value)
+ a7ddrphy_bitslip4_o <= 8'd0;
+ case (a7ddrphy_bitslip4_value)
1'd0: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[7:0];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[8:1];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[9:2];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[10:3];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[11:4];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[12:5];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[13:6];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[14:7];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_23 = dummy_s;
+ dummy_d_10 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_24;
+reg dummy_d_11;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip5_o <= 8'd0;
- case (soc_a7ddrphy_bitslip5_value)
+ a7ddrphy_bitslip5_o <= 8'd0;
+ case (a7ddrphy_bitslip5_value)
1'd0: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[7:0];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[8:1];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[9:2];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[10:3];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[11:4];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[12:5];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[13:6];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[14:7];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_24 = dummy_s;
+ dummy_d_11 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_25;
+reg dummy_d_12;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip6_o <= 8'd0;
- case (soc_a7ddrphy_bitslip6_value)
+ a7ddrphy_bitslip6_o <= 8'd0;
+ case (a7ddrphy_bitslip6_value)
1'd0: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[7:0];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[8:1];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[9:2];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[10:3];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[11:4];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[12:5];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[13:6];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[14:7];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_25 = dummy_s;
+ dummy_d_12 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_26;
+reg dummy_d_13;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip7_o <= 8'd0;
- case (soc_a7ddrphy_bitslip7_value)
+ a7ddrphy_bitslip7_o <= 8'd0;
+ case (a7ddrphy_bitslip7_value)
1'd0: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[7:0];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[8:1];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[9:2];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[10:3];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[11:4];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[12:5];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[13:6];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[14:7];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_26 = dummy_s;
+ dummy_d_13 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_27;
+reg dummy_d_14;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip8_o <= 8'd0;
- case (soc_a7ddrphy_bitslip8_value)
+ a7ddrphy_bitslip8_o <= 8'd0;
+ case (a7ddrphy_bitslip8_value)
1'd0: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[7:0];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[8:1];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[9:2];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[10:3];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[11:4];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[12:5];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[13:6];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[14:7];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_27 = dummy_s;
+ dummy_d_14 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_28;
+reg dummy_d_15;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip9_o <= 8'd0;
- case (soc_a7ddrphy_bitslip9_value)
+ a7ddrphy_bitslip9_o <= 8'd0;
+ case (a7ddrphy_bitslip9_value)
1'd0: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[7:0];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[8:1];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[9:2];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[10:3];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[11:4];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[12:5];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[13:6];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[14:7];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_28 = dummy_s;
+ dummy_d_15 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_29;
+reg dummy_d_16;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip10_o <= 8'd0;
- case (soc_a7ddrphy_bitslip10_value)
+ a7ddrphy_bitslip10_o <= 8'd0;
+ case (a7ddrphy_bitslip10_value)
1'd0: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[7:0];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[8:1];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[9:2];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[10:3];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[11:4];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[12:5];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[13:6];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[14:7];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_29 = dummy_s;
+ dummy_d_16 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_30;
+reg dummy_d_17;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip11_o <= 8'd0;
- case (soc_a7ddrphy_bitslip11_value)
+ a7ddrphy_bitslip11_o <= 8'd0;
+ case (a7ddrphy_bitslip11_value)
1'd0: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[7:0];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[8:1];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[9:2];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[10:3];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[11:4];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[12:5];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[13:6];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[14:7];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_30 = dummy_s;
+ dummy_d_17 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_31;
+reg dummy_d_18;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip12_o <= 8'd0;
- case (soc_a7ddrphy_bitslip12_value)
+ a7ddrphy_bitslip12_o <= 8'd0;
+ case (a7ddrphy_bitslip12_value)
1'd0: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[7:0];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[8:1];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[9:2];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[10:3];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[11:4];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[12:5];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[13:6];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[14:7];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_31 = dummy_s;
+ dummy_d_18 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_32;
+reg dummy_d_19;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip13_o <= 8'd0;
- case (soc_a7ddrphy_bitslip13_value)
+ a7ddrphy_bitslip13_o <= 8'd0;
+ case (a7ddrphy_bitslip13_value)
1'd0: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[7:0];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[8:1];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[9:2];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[10:3];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[11:4];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[12:5];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[13:6];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[14:7];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_32 = dummy_s;
+ dummy_d_19 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_33;
+reg dummy_d_20;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip14_o <= 8'd0;
- case (soc_a7ddrphy_bitslip14_value)
+ a7ddrphy_bitslip14_o <= 8'd0;
+ case (a7ddrphy_bitslip14_value)
1'd0: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[7:0];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[8:1];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[9:2];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[10:3];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[11:4];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[12:5];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[13:6];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[14:7];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_33 = dummy_s;
+ dummy_d_20 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_34;
+reg dummy_d_21;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip15_o <= 8'd0;
- case (soc_a7ddrphy_bitslip15_value)
+ a7ddrphy_bitslip15_o <= 8'd0;
+ case (a7ddrphy_bitslip15_value)
1'd0: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[7:0];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[8:1];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[9:2];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[10:3];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[11:4];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[12:5];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[13:6];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[14:7];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_34 = dummy_s;
+ dummy_d_21 = dummy_s;
// synthesis translate_on
end
-assign soc_a7ddrphy_dfi_p0_address = soc_sdram_master_p0_address;
-assign soc_a7ddrphy_dfi_p0_bank = soc_sdram_master_p0_bank;
-assign soc_a7ddrphy_dfi_p0_cas_n = soc_sdram_master_p0_cas_n;
-assign soc_a7ddrphy_dfi_p0_cs_n = soc_sdram_master_p0_cs_n;
-assign soc_a7ddrphy_dfi_p0_ras_n = soc_sdram_master_p0_ras_n;
-assign soc_a7ddrphy_dfi_p0_we_n = soc_sdram_master_p0_we_n;
-assign soc_a7ddrphy_dfi_p0_cke = soc_sdram_master_p0_cke;
-assign soc_a7ddrphy_dfi_p0_odt = soc_sdram_master_p0_odt;
-assign soc_a7ddrphy_dfi_p0_reset_n = soc_sdram_master_p0_reset_n;
-assign soc_a7ddrphy_dfi_p0_act_n = soc_sdram_master_p0_act_n;
-assign soc_a7ddrphy_dfi_p0_wrdata = soc_sdram_master_p0_wrdata;
-assign soc_a7ddrphy_dfi_p0_wrdata_en = soc_sdram_master_p0_wrdata_en;
-assign soc_a7ddrphy_dfi_p0_wrdata_mask = soc_sdram_master_p0_wrdata_mask;
-assign soc_a7ddrphy_dfi_p0_rddata_en = soc_sdram_master_p0_rddata_en;
-assign soc_sdram_master_p0_rddata = soc_a7ddrphy_dfi_p0_rddata;
-assign soc_sdram_master_p0_rddata_valid = soc_a7ddrphy_dfi_p0_rddata_valid;
-assign soc_a7ddrphy_dfi_p1_address = soc_sdram_master_p1_address;
-assign soc_a7ddrphy_dfi_p1_bank = soc_sdram_master_p1_bank;
-assign soc_a7ddrphy_dfi_p1_cas_n = soc_sdram_master_p1_cas_n;
-assign soc_a7ddrphy_dfi_p1_cs_n = soc_sdram_master_p1_cs_n;
-assign soc_a7ddrphy_dfi_p1_ras_n = soc_sdram_master_p1_ras_n;
-assign soc_a7ddrphy_dfi_p1_we_n = soc_sdram_master_p1_we_n;
-assign soc_a7ddrphy_dfi_p1_cke = soc_sdram_master_p1_cke;
-assign soc_a7ddrphy_dfi_p1_odt = soc_sdram_master_p1_odt;
-assign soc_a7ddrphy_dfi_p1_reset_n = soc_sdram_master_p1_reset_n;
-assign soc_a7ddrphy_dfi_p1_act_n = soc_sdram_master_p1_act_n;
-assign soc_a7ddrphy_dfi_p1_wrdata = soc_sdram_master_p1_wrdata;
-assign soc_a7ddrphy_dfi_p1_wrdata_en = soc_sdram_master_p1_wrdata_en;
-assign soc_a7ddrphy_dfi_p1_wrdata_mask = soc_sdram_master_p1_wrdata_mask;
-assign soc_a7ddrphy_dfi_p1_rddata_en = soc_sdram_master_p1_rddata_en;
-assign soc_sdram_master_p1_rddata = soc_a7ddrphy_dfi_p1_rddata;
-assign soc_sdram_master_p1_rddata_valid = soc_a7ddrphy_dfi_p1_rddata_valid;
-assign soc_a7ddrphy_dfi_p2_address = soc_sdram_master_p2_address;
-assign soc_a7ddrphy_dfi_p2_bank = soc_sdram_master_p2_bank;
-assign soc_a7ddrphy_dfi_p2_cas_n = soc_sdram_master_p2_cas_n;
-assign soc_a7ddrphy_dfi_p2_cs_n = soc_sdram_master_p2_cs_n;
-assign soc_a7ddrphy_dfi_p2_ras_n = soc_sdram_master_p2_ras_n;
-assign soc_a7ddrphy_dfi_p2_we_n = soc_sdram_master_p2_we_n;
-assign soc_a7ddrphy_dfi_p2_cke = soc_sdram_master_p2_cke;
-assign soc_a7ddrphy_dfi_p2_odt = soc_sdram_master_p2_odt;
-assign soc_a7ddrphy_dfi_p2_reset_n = soc_sdram_master_p2_reset_n;
-assign soc_a7ddrphy_dfi_p2_act_n = soc_sdram_master_p2_act_n;
-assign soc_a7ddrphy_dfi_p2_wrdata = soc_sdram_master_p2_wrdata;
-assign soc_a7ddrphy_dfi_p2_wrdata_en = soc_sdram_master_p2_wrdata_en;
-assign soc_a7ddrphy_dfi_p2_wrdata_mask = soc_sdram_master_p2_wrdata_mask;
-assign soc_a7ddrphy_dfi_p2_rddata_en = soc_sdram_master_p2_rddata_en;
-assign soc_sdram_master_p2_rddata = soc_a7ddrphy_dfi_p2_rddata;
-assign soc_sdram_master_p2_rddata_valid = soc_a7ddrphy_dfi_p2_rddata_valid;
-assign soc_a7ddrphy_dfi_p3_address = soc_sdram_master_p3_address;
-assign soc_a7ddrphy_dfi_p3_bank = soc_sdram_master_p3_bank;
-assign soc_a7ddrphy_dfi_p3_cas_n = soc_sdram_master_p3_cas_n;
-assign soc_a7ddrphy_dfi_p3_cs_n = soc_sdram_master_p3_cs_n;
-assign soc_a7ddrphy_dfi_p3_ras_n = soc_sdram_master_p3_ras_n;
-assign soc_a7ddrphy_dfi_p3_we_n = soc_sdram_master_p3_we_n;
-assign soc_a7ddrphy_dfi_p3_cke = soc_sdram_master_p3_cke;
-assign soc_a7ddrphy_dfi_p3_odt = soc_sdram_master_p3_odt;
-assign soc_a7ddrphy_dfi_p3_reset_n = soc_sdram_master_p3_reset_n;
-assign soc_a7ddrphy_dfi_p3_act_n = soc_sdram_master_p3_act_n;
-assign soc_a7ddrphy_dfi_p3_wrdata = soc_sdram_master_p3_wrdata;
-assign soc_a7ddrphy_dfi_p3_wrdata_en = soc_sdram_master_p3_wrdata_en;
-assign soc_a7ddrphy_dfi_p3_wrdata_mask = soc_sdram_master_p3_wrdata_mask;
-assign soc_a7ddrphy_dfi_p3_rddata_en = soc_sdram_master_p3_rddata_en;
-assign soc_sdram_master_p3_rddata = soc_a7ddrphy_dfi_p3_rddata;
-assign soc_sdram_master_p3_rddata_valid = soc_a7ddrphy_dfi_p3_rddata_valid;
-assign soc_sdram_slave_p0_address = soc_sdram_dfi_p0_address;
-assign soc_sdram_slave_p0_bank = soc_sdram_dfi_p0_bank;
-assign soc_sdram_slave_p0_cas_n = soc_sdram_dfi_p0_cas_n;
-assign soc_sdram_slave_p0_cs_n = soc_sdram_dfi_p0_cs_n;
-assign soc_sdram_slave_p0_ras_n = soc_sdram_dfi_p0_ras_n;
-assign soc_sdram_slave_p0_we_n = soc_sdram_dfi_p0_we_n;
-assign soc_sdram_slave_p0_cke = soc_sdram_dfi_p0_cke;
-assign soc_sdram_slave_p0_odt = soc_sdram_dfi_p0_odt;
-assign soc_sdram_slave_p0_reset_n = soc_sdram_dfi_p0_reset_n;
-assign soc_sdram_slave_p0_act_n = soc_sdram_dfi_p0_act_n;
-assign soc_sdram_slave_p0_wrdata = soc_sdram_dfi_p0_wrdata;
-assign soc_sdram_slave_p0_wrdata_en = soc_sdram_dfi_p0_wrdata_en;
-assign soc_sdram_slave_p0_wrdata_mask = soc_sdram_dfi_p0_wrdata_mask;
-assign soc_sdram_slave_p0_rddata_en = soc_sdram_dfi_p0_rddata_en;
-assign soc_sdram_dfi_p0_rddata = soc_sdram_slave_p0_rddata;
-assign soc_sdram_dfi_p0_rddata_valid = soc_sdram_slave_p0_rddata_valid;
-assign soc_sdram_slave_p1_address = soc_sdram_dfi_p1_address;
-assign soc_sdram_slave_p1_bank = soc_sdram_dfi_p1_bank;
-assign soc_sdram_slave_p1_cas_n = soc_sdram_dfi_p1_cas_n;
-assign soc_sdram_slave_p1_cs_n = soc_sdram_dfi_p1_cs_n;
-assign soc_sdram_slave_p1_ras_n = soc_sdram_dfi_p1_ras_n;
-assign soc_sdram_slave_p1_we_n = soc_sdram_dfi_p1_we_n;
-assign soc_sdram_slave_p1_cke = soc_sdram_dfi_p1_cke;
-assign soc_sdram_slave_p1_odt = soc_sdram_dfi_p1_odt;
-assign soc_sdram_slave_p1_reset_n = soc_sdram_dfi_p1_reset_n;
-assign soc_sdram_slave_p1_act_n = soc_sdram_dfi_p1_act_n;
-assign soc_sdram_slave_p1_wrdata = soc_sdram_dfi_p1_wrdata;
-assign soc_sdram_slave_p1_wrdata_en = soc_sdram_dfi_p1_wrdata_en;
-assign soc_sdram_slave_p1_wrdata_mask = soc_sdram_dfi_p1_wrdata_mask;
-assign soc_sdram_slave_p1_rddata_en = soc_sdram_dfi_p1_rddata_en;
-assign soc_sdram_dfi_p1_rddata = soc_sdram_slave_p1_rddata;
-assign soc_sdram_dfi_p1_rddata_valid = soc_sdram_slave_p1_rddata_valid;
-assign soc_sdram_slave_p2_address = soc_sdram_dfi_p2_address;
-assign soc_sdram_slave_p2_bank = soc_sdram_dfi_p2_bank;
-assign soc_sdram_slave_p2_cas_n = soc_sdram_dfi_p2_cas_n;
-assign soc_sdram_slave_p2_cs_n = soc_sdram_dfi_p2_cs_n;
-assign soc_sdram_slave_p2_ras_n = soc_sdram_dfi_p2_ras_n;
-assign soc_sdram_slave_p2_we_n = soc_sdram_dfi_p2_we_n;
-assign soc_sdram_slave_p2_cke = soc_sdram_dfi_p2_cke;
-assign soc_sdram_slave_p2_odt = soc_sdram_dfi_p2_odt;
-assign soc_sdram_slave_p2_reset_n = soc_sdram_dfi_p2_reset_n;
-assign soc_sdram_slave_p2_act_n = soc_sdram_dfi_p2_act_n;
-assign soc_sdram_slave_p2_wrdata = soc_sdram_dfi_p2_wrdata;
-assign soc_sdram_slave_p2_wrdata_en = soc_sdram_dfi_p2_wrdata_en;
-assign soc_sdram_slave_p2_wrdata_mask = soc_sdram_dfi_p2_wrdata_mask;
-assign soc_sdram_slave_p2_rddata_en = soc_sdram_dfi_p2_rddata_en;
-assign soc_sdram_dfi_p2_rddata = soc_sdram_slave_p2_rddata;
-assign soc_sdram_dfi_p2_rddata_valid = soc_sdram_slave_p2_rddata_valid;
-assign soc_sdram_slave_p3_address = soc_sdram_dfi_p3_address;
-assign soc_sdram_slave_p3_bank = soc_sdram_dfi_p3_bank;
-assign soc_sdram_slave_p3_cas_n = soc_sdram_dfi_p3_cas_n;
-assign soc_sdram_slave_p3_cs_n = soc_sdram_dfi_p3_cs_n;
-assign soc_sdram_slave_p3_ras_n = soc_sdram_dfi_p3_ras_n;
-assign soc_sdram_slave_p3_we_n = soc_sdram_dfi_p3_we_n;
-assign soc_sdram_slave_p3_cke = soc_sdram_dfi_p3_cke;
-assign soc_sdram_slave_p3_odt = soc_sdram_dfi_p3_odt;
-assign soc_sdram_slave_p3_reset_n = soc_sdram_dfi_p3_reset_n;
-assign soc_sdram_slave_p3_act_n = soc_sdram_dfi_p3_act_n;
-assign soc_sdram_slave_p3_wrdata = soc_sdram_dfi_p3_wrdata;
-assign soc_sdram_slave_p3_wrdata_en = soc_sdram_dfi_p3_wrdata_en;
-assign soc_sdram_slave_p3_wrdata_mask = soc_sdram_dfi_p3_wrdata_mask;
-assign soc_sdram_slave_p3_rddata_en = soc_sdram_dfi_p3_rddata_en;
-assign soc_sdram_dfi_p3_rddata = soc_sdram_slave_p3_rddata;
-assign soc_sdram_dfi_p3_rddata_valid = soc_sdram_slave_p3_rddata_valid;
+assign a7ddrphy_dfi_p0_address = litedramcore_master_p0_address;
+assign a7ddrphy_dfi_p0_bank = litedramcore_master_p0_bank;
+assign a7ddrphy_dfi_p0_cas_n = litedramcore_master_p0_cas_n;
+assign a7ddrphy_dfi_p0_cs_n = litedramcore_master_p0_cs_n;
+assign a7ddrphy_dfi_p0_ras_n = litedramcore_master_p0_ras_n;
+assign a7ddrphy_dfi_p0_we_n = litedramcore_master_p0_we_n;
+assign a7ddrphy_dfi_p0_cke = litedramcore_master_p0_cke;
+assign a7ddrphy_dfi_p0_odt = litedramcore_master_p0_odt;
+assign a7ddrphy_dfi_p0_reset_n = litedramcore_master_p0_reset_n;
+assign a7ddrphy_dfi_p0_act_n = litedramcore_master_p0_act_n;
+assign a7ddrphy_dfi_p0_wrdata = litedramcore_master_p0_wrdata;
+assign a7ddrphy_dfi_p0_wrdata_en = litedramcore_master_p0_wrdata_en;
+assign a7ddrphy_dfi_p0_wrdata_mask = litedramcore_master_p0_wrdata_mask;
+assign a7ddrphy_dfi_p0_rddata_en = litedramcore_master_p0_rddata_en;
+assign litedramcore_master_p0_rddata = a7ddrphy_dfi_p0_rddata;
+assign litedramcore_master_p0_rddata_valid = a7ddrphy_dfi_p0_rddata_valid;
+assign a7ddrphy_dfi_p1_address = litedramcore_master_p1_address;
+assign a7ddrphy_dfi_p1_bank = litedramcore_master_p1_bank;
+assign a7ddrphy_dfi_p1_cas_n = litedramcore_master_p1_cas_n;
+assign a7ddrphy_dfi_p1_cs_n = litedramcore_master_p1_cs_n;
+assign a7ddrphy_dfi_p1_ras_n = litedramcore_master_p1_ras_n;
+assign a7ddrphy_dfi_p1_we_n = litedramcore_master_p1_we_n;
+assign a7ddrphy_dfi_p1_cke = litedramcore_master_p1_cke;
+assign a7ddrphy_dfi_p1_odt = litedramcore_master_p1_odt;
+assign a7ddrphy_dfi_p1_reset_n = litedramcore_master_p1_reset_n;
+assign a7ddrphy_dfi_p1_act_n = litedramcore_master_p1_act_n;
+assign a7ddrphy_dfi_p1_wrdata = litedramcore_master_p1_wrdata;
+assign a7ddrphy_dfi_p1_wrdata_en = litedramcore_master_p1_wrdata_en;
+assign a7ddrphy_dfi_p1_wrdata_mask = litedramcore_master_p1_wrdata_mask;
+assign a7ddrphy_dfi_p1_rddata_en = litedramcore_master_p1_rddata_en;
+assign litedramcore_master_p1_rddata = a7ddrphy_dfi_p1_rddata;
+assign litedramcore_master_p1_rddata_valid = a7ddrphy_dfi_p1_rddata_valid;
+assign a7ddrphy_dfi_p2_address = litedramcore_master_p2_address;
+assign a7ddrphy_dfi_p2_bank = litedramcore_master_p2_bank;
+assign a7ddrphy_dfi_p2_cas_n = litedramcore_master_p2_cas_n;
+assign a7ddrphy_dfi_p2_cs_n = litedramcore_master_p2_cs_n;
+assign a7ddrphy_dfi_p2_ras_n = litedramcore_master_p2_ras_n;
+assign a7ddrphy_dfi_p2_we_n = litedramcore_master_p2_we_n;
+assign a7ddrphy_dfi_p2_cke = litedramcore_master_p2_cke;
+assign a7ddrphy_dfi_p2_odt = litedramcore_master_p2_odt;
+assign a7ddrphy_dfi_p2_reset_n = litedramcore_master_p2_reset_n;
+assign a7ddrphy_dfi_p2_act_n = litedramcore_master_p2_act_n;
+assign a7ddrphy_dfi_p2_wrdata = litedramcore_master_p2_wrdata;
+assign a7ddrphy_dfi_p2_wrdata_en = litedramcore_master_p2_wrdata_en;
+assign a7ddrphy_dfi_p2_wrdata_mask = litedramcore_master_p2_wrdata_mask;
+assign a7ddrphy_dfi_p2_rddata_en = litedramcore_master_p2_rddata_en;
+assign litedramcore_master_p2_rddata = a7ddrphy_dfi_p2_rddata;
+assign litedramcore_master_p2_rddata_valid = a7ddrphy_dfi_p2_rddata_valid;
+assign a7ddrphy_dfi_p3_address = litedramcore_master_p3_address;
+assign a7ddrphy_dfi_p3_bank = litedramcore_master_p3_bank;
+assign a7ddrphy_dfi_p3_cas_n = litedramcore_master_p3_cas_n;
+assign a7ddrphy_dfi_p3_cs_n = litedramcore_master_p3_cs_n;
+assign a7ddrphy_dfi_p3_ras_n = litedramcore_master_p3_ras_n;
+assign a7ddrphy_dfi_p3_we_n = litedramcore_master_p3_we_n;
+assign a7ddrphy_dfi_p3_cke = litedramcore_master_p3_cke;
+assign a7ddrphy_dfi_p3_odt = litedramcore_master_p3_odt;
+assign a7ddrphy_dfi_p3_reset_n = litedramcore_master_p3_reset_n;
+assign a7ddrphy_dfi_p3_act_n = litedramcore_master_p3_act_n;
+assign a7ddrphy_dfi_p3_wrdata = litedramcore_master_p3_wrdata;
+assign a7ddrphy_dfi_p3_wrdata_en = litedramcore_master_p3_wrdata_en;
+assign a7ddrphy_dfi_p3_wrdata_mask = litedramcore_master_p3_wrdata_mask;
+assign a7ddrphy_dfi_p3_rddata_en = litedramcore_master_p3_rddata_en;
+assign litedramcore_master_p3_rddata = a7ddrphy_dfi_p3_rddata;
+assign litedramcore_master_p3_rddata_valid = a7ddrphy_dfi_p3_rddata_valid;
+assign litedramcore_slave_p0_address = litedramcore_dfi_p0_address;
+assign litedramcore_slave_p0_bank = litedramcore_dfi_p0_bank;
+assign litedramcore_slave_p0_cas_n = litedramcore_dfi_p0_cas_n;
+assign litedramcore_slave_p0_cs_n = litedramcore_dfi_p0_cs_n;
+assign litedramcore_slave_p0_ras_n = litedramcore_dfi_p0_ras_n;
+assign litedramcore_slave_p0_we_n = litedramcore_dfi_p0_we_n;
+assign litedramcore_slave_p0_cke = litedramcore_dfi_p0_cke;
+assign litedramcore_slave_p0_odt = litedramcore_dfi_p0_odt;
+assign litedramcore_slave_p0_reset_n = litedramcore_dfi_p0_reset_n;
+assign litedramcore_slave_p0_act_n = litedramcore_dfi_p0_act_n;
+assign litedramcore_slave_p0_wrdata = litedramcore_dfi_p0_wrdata;
+assign litedramcore_slave_p0_wrdata_en = litedramcore_dfi_p0_wrdata_en;
+assign litedramcore_slave_p0_wrdata_mask = litedramcore_dfi_p0_wrdata_mask;
+assign litedramcore_slave_p0_rddata_en = litedramcore_dfi_p0_rddata_en;
+assign litedramcore_dfi_p0_rddata = litedramcore_slave_p0_rddata;
+assign litedramcore_dfi_p0_rddata_valid = litedramcore_slave_p0_rddata_valid;
+assign litedramcore_slave_p1_address = litedramcore_dfi_p1_address;
+assign litedramcore_slave_p1_bank = litedramcore_dfi_p1_bank;
+assign litedramcore_slave_p1_cas_n = litedramcore_dfi_p1_cas_n;
+assign litedramcore_slave_p1_cs_n = litedramcore_dfi_p1_cs_n;
+assign litedramcore_slave_p1_ras_n = litedramcore_dfi_p1_ras_n;
+assign litedramcore_slave_p1_we_n = litedramcore_dfi_p1_we_n;
+assign litedramcore_slave_p1_cke = litedramcore_dfi_p1_cke;
+assign litedramcore_slave_p1_odt = litedramcore_dfi_p1_odt;
+assign litedramcore_slave_p1_reset_n = litedramcore_dfi_p1_reset_n;
+assign litedramcore_slave_p1_act_n = litedramcore_dfi_p1_act_n;
+assign litedramcore_slave_p1_wrdata = litedramcore_dfi_p1_wrdata;
+assign litedramcore_slave_p1_wrdata_en = litedramcore_dfi_p1_wrdata_en;
+assign litedramcore_slave_p1_wrdata_mask = litedramcore_dfi_p1_wrdata_mask;
+assign litedramcore_slave_p1_rddata_en = litedramcore_dfi_p1_rddata_en;
+assign litedramcore_dfi_p1_rddata = litedramcore_slave_p1_rddata;
+assign litedramcore_dfi_p1_rddata_valid = litedramcore_slave_p1_rddata_valid;
+assign litedramcore_slave_p2_address = litedramcore_dfi_p2_address;
+assign litedramcore_slave_p2_bank = litedramcore_dfi_p2_bank;
+assign litedramcore_slave_p2_cas_n = litedramcore_dfi_p2_cas_n;
+assign litedramcore_slave_p2_cs_n = litedramcore_dfi_p2_cs_n;
+assign litedramcore_slave_p2_ras_n = litedramcore_dfi_p2_ras_n;
+assign litedramcore_slave_p2_we_n = litedramcore_dfi_p2_we_n;
+assign litedramcore_slave_p2_cke = litedramcore_dfi_p2_cke;
+assign litedramcore_slave_p2_odt = litedramcore_dfi_p2_odt;
+assign litedramcore_slave_p2_reset_n = litedramcore_dfi_p2_reset_n;
+assign litedramcore_slave_p2_act_n = litedramcore_dfi_p2_act_n;
+assign litedramcore_slave_p2_wrdata = litedramcore_dfi_p2_wrdata;
+assign litedramcore_slave_p2_wrdata_en = litedramcore_dfi_p2_wrdata_en;
+assign litedramcore_slave_p2_wrdata_mask = litedramcore_dfi_p2_wrdata_mask;
+assign litedramcore_slave_p2_rddata_en = litedramcore_dfi_p2_rddata_en;
+assign litedramcore_dfi_p2_rddata = litedramcore_slave_p2_rddata;
+assign litedramcore_dfi_p2_rddata_valid = litedramcore_slave_p2_rddata_valid;
+assign litedramcore_slave_p3_address = litedramcore_dfi_p3_address;
+assign litedramcore_slave_p3_bank = litedramcore_dfi_p3_bank;
+assign litedramcore_slave_p3_cas_n = litedramcore_dfi_p3_cas_n;
+assign litedramcore_slave_p3_cs_n = litedramcore_dfi_p3_cs_n;
+assign litedramcore_slave_p3_ras_n = litedramcore_dfi_p3_ras_n;
+assign litedramcore_slave_p3_we_n = litedramcore_dfi_p3_we_n;
+assign litedramcore_slave_p3_cke = litedramcore_dfi_p3_cke;
+assign litedramcore_slave_p3_odt = litedramcore_dfi_p3_odt;
+assign litedramcore_slave_p3_reset_n = litedramcore_dfi_p3_reset_n;
+assign litedramcore_slave_p3_act_n = litedramcore_dfi_p3_act_n;
+assign litedramcore_slave_p3_wrdata = litedramcore_dfi_p3_wrdata;
+assign litedramcore_slave_p3_wrdata_en = litedramcore_dfi_p3_wrdata_en;
+assign litedramcore_slave_p3_wrdata_mask = litedramcore_dfi_p3_wrdata_mask;
+assign litedramcore_slave_p3_rddata_en = litedramcore_dfi_p3_rddata_en;
+assign litedramcore_dfi_p3_rddata = litedramcore_slave_p3_rddata;
+assign litedramcore_dfi_p3_rddata_valid = litedramcore_slave_p3_rddata_valid;
// synthesis translate_off
-reg dummy_d_35;
+reg dummy_d_22;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_bank <= 3'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_bank <= soc_sdram_slave_p0_bank;
+ litedramcore_master_p3_cke <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_cke <= litedramcore_slave_p3_cke;
end else begin
- soc_sdram_master_p0_bank <= soc_sdram_inti_p0_bank;
+ litedramcore_master_p3_cke <= litedramcore_inti_p3_cke;
end
// synthesis translate_off
- dummy_d_35 = dummy_s;
+ dummy_d_22 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_36;
+reg dummy_d_23;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_cas_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_cas_n <= soc_sdram_slave_p0_cas_n;
+ litedramcore_master_p3_odt <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_odt <= litedramcore_slave_p3_odt;
end else begin
- soc_sdram_master_p0_cas_n <= soc_sdram_inti_p0_cas_n;
+ litedramcore_master_p3_odt <= litedramcore_inti_p3_odt;
end
// synthesis translate_off
- dummy_d_36 = dummy_s;
+ dummy_d_23 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_37;
+reg dummy_d_24;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_cs_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_cs_n <= soc_sdram_slave_p0_cs_n;
+ litedramcore_master_p3_reset_n <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_reset_n <= litedramcore_slave_p3_reset_n;
end else begin
- soc_sdram_master_p0_cs_n <= soc_sdram_inti_p0_cs_n;
+ litedramcore_master_p3_reset_n <= litedramcore_inti_p3_reset_n;
end
// synthesis translate_off
- dummy_d_37 = dummy_s;
+ dummy_d_24 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_38;
+reg dummy_d_25;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_ras_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_ras_n <= soc_sdram_slave_p0_ras_n;
+ litedramcore_master_p3_act_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_act_n <= litedramcore_slave_p3_act_n;
end else begin
- soc_sdram_master_p0_ras_n <= soc_sdram_inti_p0_ras_n;
+ litedramcore_master_p3_act_n <= litedramcore_inti_p3_act_n;
end
// synthesis translate_off
- dummy_d_38 = dummy_s;
+ dummy_d_25 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_39;
+reg dummy_d_26;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p0_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p0_rddata <= soc_sdram_master_p0_rddata;
+ litedramcore_master_p3_wrdata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_wrdata <= litedramcore_slave_p3_wrdata;
end else begin
+ litedramcore_master_p3_wrdata <= litedramcore_inti_p3_wrdata;
end
// synthesis translate_off
- dummy_d_39 = dummy_s;
+ dummy_d_26 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_40;
+reg dummy_d_27;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_we_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_we_n <= soc_sdram_slave_p0_we_n;
+ litedramcore_inti_p0_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_master_p0_we_n <= soc_sdram_inti_p0_we_n;
+ litedramcore_inti_p0_rddata <= litedramcore_master_p0_rddata;
end
// synthesis translate_off
- dummy_d_40 = dummy_s;
+ dummy_d_27 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_41;
+reg dummy_d_28;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p0_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p0_rddata_valid <= soc_sdram_master_p0_rddata_valid;
+ litedramcore_master_p3_wrdata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_wrdata_en <= litedramcore_slave_p3_wrdata_en;
end else begin
+ litedramcore_master_p3_wrdata_en <= litedramcore_inti_p3_wrdata_en;
end
// synthesis translate_off
- dummy_d_41 = dummy_s;
+ dummy_d_28 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_42;
+reg dummy_d_29;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_cke <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_cke <= soc_sdram_slave_p0_cke;
+ litedramcore_inti_p0_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_master_p0_cke <= soc_sdram_inti_p0_cke;
+ litedramcore_inti_p0_rddata_valid <= litedramcore_master_p0_rddata_valid;
end
// synthesis translate_off
- dummy_d_42 = dummy_s;
+ dummy_d_29 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_43;
+reg dummy_d_30;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_odt <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_odt <= soc_sdram_slave_p0_odt;
+ litedramcore_master_p3_wrdata_mask <= 4'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_wrdata_mask <= litedramcore_slave_p3_wrdata_mask;
end else begin
- soc_sdram_master_p0_odt <= soc_sdram_inti_p0_odt;
+ litedramcore_master_p3_wrdata_mask <= litedramcore_inti_p3_wrdata_mask;
end
// synthesis translate_off
- dummy_d_43 = dummy_s;
+ dummy_d_30 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_44;
+reg dummy_d_31;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_reset_n <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_reset_n <= soc_sdram_slave_p0_reset_n;
+ litedramcore_master_p3_rddata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_rddata_en <= litedramcore_slave_p3_rddata_en;
end else begin
- soc_sdram_master_p0_reset_n <= soc_sdram_inti_p0_reset_n;
+ litedramcore_master_p3_rddata_en <= litedramcore_inti_p3_rddata_en;
end
// synthesis translate_off
- dummy_d_44 = dummy_s;
+ dummy_d_31 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_32;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p0_address <= 14'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_address <= litedramcore_slave_p0_address;
+ end else begin
+ litedramcore_master_p0_address <= litedramcore_inti_p0_address;
+ end
+// synthesis translate_off
+ dummy_d_32 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_33;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p0_bank <= 3'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_bank <= litedramcore_slave_p0_bank;
+ end else begin
+ litedramcore_master_p0_bank <= litedramcore_inti_p0_bank;
+ end
+// synthesis translate_off
+ dummy_d_33 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_34;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p0_cas_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_cas_n <= litedramcore_slave_p0_cas_n;
+ end else begin
+ litedramcore_master_p0_cas_n <= litedramcore_inti_p0_cas_n;
+ end
+// synthesis translate_off
+ dummy_d_34 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_35;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p0_cs_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_cs_n <= litedramcore_slave_p0_cs_n;
+ end else begin
+ litedramcore_master_p0_cs_n <= litedramcore_inti_p0_cs_n;
+ end
+// synthesis translate_off
+ dummy_d_35 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_36;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_slave_p0_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p0_rddata <= litedramcore_master_p0_rddata;
+ end else begin
+ end
+// synthesis translate_off
+ dummy_d_36 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_37;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p0_ras_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_ras_n <= litedramcore_slave_p0_ras_n;
+ end else begin
+ litedramcore_master_p0_ras_n <= litedramcore_inti_p0_ras_n;
+ end
+// synthesis translate_off
+ dummy_d_37 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_38;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_slave_p0_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p0_rddata_valid <= litedramcore_master_p0_rddata_valid;
+ end else begin
+ end
+// synthesis translate_off
+ dummy_d_38 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_39;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p0_we_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_we_n <= litedramcore_slave_p0_we_n;
+ end else begin
+ litedramcore_master_p0_we_n <= litedramcore_inti_p0_we_n;
+ end
+// synthesis translate_off
+ dummy_d_39 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_40;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p0_cke <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_cke <= litedramcore_slave_p0_cke;
+ end else begin
+ litedramcore_master_p0_cke <= litedramcore_inti_p0_cke;
+ end
+// synthesis translate_off
+ dummy_d_40 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_41;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p0_odt <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_odt <= litedramcore_slave_p0_odt;
+ end else begin
+ litedramcore_master_p0_odt <= litedramcore_inti_p0_odt;
+ end
+// synthesis translate_off
+ dummy_d_41 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_42;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p0_reset_n <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_reset_n <= litedramcore_slave_p0_reset_n;
+ end else begin
+ litedramcore_master_p0_reset_n <= litedramcore_inti_p0_reset_n;
+ end
+// synthesis translate_off
+ dummy_d_42 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_43;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p0_act_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_act_n <= litedramcore_slave_p0_act_n;
+ end else begin
+ litedramcore_master_p0_act_n <= litedramcore_inti_p0_act_n;
+ end
+// synthesis translate_off
+ dummy_d_43 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_44;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p0_wrdata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_wrdata <= litedramcore_slave_p0_wrdata;
+ end else begin
+ litedramcore_master_p0_wrdata <= litedramcore_inti_p0_wrdata;
+ end
+// synthesis translate_off
+ dummy_d_44 = dummy_s;
// synthesis translate_on
end
reg dummy_d_45;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_act_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_act_n <= soc_sdram_slave_p0_act_n;
+ litedramcore_inti_p1_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_master_p0_act_n <= soc_sdram_inti_p0_act_n;
+ litedramcore_inti_p1_rddata <= litedramcore_master_p1_rddata;
end
// synthesis translate_off
dummy_d_45 = dummy_s;
reg dummy_d_46;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_wrdata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_wrdata <= soc_sdram_slave_p0_wrdata;
+ litedramcore_master_p0_wrdata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_wrdata_en <= litedramcore_slave_p0_wrdata_en;
end else begin
- soc_sdram_master_p0_wrdata <= soc_sdram_inti_p0_wrdata;
+ litedramcore_master_p0_wrdata_en <= litedramcore_inti_p0_wrdata_en;
end
// synthesis translate_off
dummy_d_46 = dummy_s;
reg dummy_d_47;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p1_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_inti_p1_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_inti_p1_rddata <= soc_sdram_master_p1_rddata;
+ litedramcore_inti_p1_rddata_valid <= litedramcore_master_p1_rddata_valid;
end
// synthesis translate_off
dummy_d_47 = dummy_s;
reg dummy_d_48;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_wrdata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_wrdata_en <= soc_sdram_slave_p0_wrdata_en;
+ litedramcore_master_p0_wrdata_mask <= 4'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_wrdata_mask <= litedramcore_slave_p0_wrdata_mask;
end else begin
- soc_sdram_master_p0_wrdata_en <= soc_sdram_inti_p0_wrdata_en;
+ litedramcore_master_p0_wrdata_mask <= litedramcore_inti_p0_wrdata_mask;
end
// synthesis translate_off
dummy_d_48 = dummy_s;
reg dummy_d_49;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p1_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_master_p0_rddata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_rddata_en <= litedramcore_slave_p0_rddata_en;
end else begin
- soc_sdram_inti_p1_rddata_valid <= soc_sdram_master_p1_rddata_valid;
+ litedramcore_master_p0_rddata_en <= litedramcore_inti_p0_rddata_en;
end
// synthesis translate_off
dummy_d_49 = dummy_s;
reg dummy_d_50;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_wrdata_mask <= 4'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_wrdata_mask <= soc_sdram_slave_p0_wrdata_mask;
+ litedramcore_master_p1_address <= 14'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_address <= litedramcore_slave_p1_address;
end else begin
- soc_sdram_master_p0_wrdata_mask <= soc_sdram_inti_p0_wrdata_mask;
+ litedramcore_master_p1_address <= litedramcore_inti_p1_address;
end
// synthesis translate_off
dummy_d_50 = dummy_s;
reg dummy_d_51;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_rddata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_rddata_en <= soc_sdram_slave_p0_rddata_en;
+ litedramcore_master_p1_bank <= 3'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_bank <= litedramcore_slave_p1_bank;
end else begin
- soc_sdram_master_p0_rddata_en <= soc_sdram_inti_p0_rddata_en;
+ litedramcore_master_p1_bank <= litedramcore_inti_p1_bank;
end
// synthesis translate_off
dummy_d_51 = dummy_s;
reg dummy_d_52;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_address <= 14'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_address <= soc_sdram_slave_p1_address;
+ litedramcore_master_p1_cas_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_cas_n <= litedramcore_slave_p1_cas_n;
end else begin
- soc_sdram_master_p1_address <= soc_sdram_inti_p1_address;
+ litedramcore_master_p1_cas_n <= litedramcore_inti_p1_cas_n;
end
// synthesis translate_off
dummy_d_52 = dummy_s;
reg dummy_d_53;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_bank <= 3'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_bank <= soc_sdram_slave_p1_bank;
+ litedramcore_master_p1_cs_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_cs_n <= litedramcore_slave_p1_cs_n;
end else begin
- soc_sdram_master_p1_bank <= soc_sdram_inti_p1_bank;
+ litedramcore_master_p1_cs_n <= litedramcore_inti_p1_cs_n;
end
// synthesis translate_off
dummy_d_53 = dummy_s;
reg dummy_d_54;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_cas_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_cas_n <= soc_sdram_slave_p1_cas_n;
+ litedramcore_master_p1_ras_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_ras_n <= litedramcore_slave_p1_ras_n;
end else begin
- soc_sdram_master_p1_cas_n <= soc_sdram_inti_p1_cas_n;
+ litedramcore_master_p1_ras_n <= litedramcore_inti_p1_ras_n;
end
// synthesis translate_off
dummy_d_54 = dummy_s;
reg dummy_d_55;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_cs_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_cs_n <= soc_sdram_slave_p1_cs_n;
+ litedramcore_slave_p1_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p1_rddata <= litedramcore_master_p1_rddata;
end else begin
- soc_sdram_master_p1_cs_n <= soc_sdram_inti_p1_cs_n;
end
// synthesis translate_off
dummy_d_55 = dummy_s;
reg dummy_d_56;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_ras_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_ras_n <= soc_sdram_slave_p1_ras_n;
+ litedramcore_master_p1_we_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_we_n <= litedramcore_slave_p1_we_n;
end else begin
- soc_sdram_master_p1_ras_n <= soc_sdram_inti_p1_ras_n;
+ litedramcore_master_p1_we_n <= litedramcore_inti_p1_we_n;
end
// synthesis translate_off
dummy_d_56 = dummy_s;
reg dummy_d_57;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p1_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p1_rddata <= soc_sdram_master_p1_rddata;
+ litedramcore_slave_p1_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p1_rddata_valid <= litedramcore_master_p1_rddata_valid;
end else begin
end
// synthesis translate_off
reg dummy_d_58;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_we_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_we_n <= soc_sdram_slave_p1_we_n;
+ litedramcore_master_p1_cke <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_cke <= litedramcore_slave_p1_cke;
end else begin
- soc_sdram_master_p1_we_n <= soc_sdram_inti_p1_we_n;
+ litedramcore_master_p1_cke <= litedramcore_inti_p1_cke;
end
// synthesis translate_off
dummy_d_58 = dummy_s;
reg dummy_d_59;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p1_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p1_rddata_valid <= soc_sdram_master_p1_rddata_valid;
+ litedramcore_master_p1_odt <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_odt <= litedramcore_slave_p1_odt;
end else begin
+ litedramcore_master_p1_odt <= litedramcore_inti_p1_odt;
end
// synthesis translate_off
dummy_d_59 = dummy_s;
reg dummy_d_60;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_cke <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_cke <= soc_sdram_slave_p1_cke;
+ litedramcore_master_p1_reset_n <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_reset_n <= litedramcore_slave_p1_reset_n;
end else begin
- soc_sdram_master_p1_cke <= soc_sdram_inti_p1_cke;
+ litedramcore_master_p1_reset_n <= litedramcore_inti_p1_reset_n;
end
// synthesis translate_off
dummy_d_60 = dummy_s;
reg dummy_d_61;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_odt <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_odt <= soc_sdram_slave_p1_odt;
+ litedramcore_master_p1_act_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_act_n <= litedramcore_slave_p1_act_n;
end else begin
- soc_sdram_master_p1_odt <= soc_sdram_inti_p1_odt;
+ litedramcore_master_p1_act_n <= litedramcore_inti_p1_act_n;
end
// synthesis translate_off
dummy_d_61 = dummy_s;
reg dummy_d_62;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_reset_n <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_reset_n <= soc_sdram_slave_p1_reset_n;
+ litedramcore_master_p1_wrdata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_wrdata <= litedramcore_slave_p1_wrdata;
end else begin
- soc_sdram_master_p1_reset_n <= soc_sdram_inti_p1_reset_n;
+ litedramcore_master_p1_wrdata <= litedramcore_inti_p1_wrdata;
end
// synthesis translate_off
dummy_d_62 = dummy_s;
reg dummy_d_63;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_act_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_act_n <= soc_sdram_slave_p1_act_n;
+ litedramcore_inti_p2_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_master_p1_act_n <= soc_sdram_inti_p1_act_n;
+ litedramcore_inti_p2_rddata <= litedramcore_master_p2_rddata;
end
// synthesis translate_off
dummy_d_63 = dummy_s;
reg dummy_d_64;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_wrdata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_wrdata <= soc_sdram_slave_p1_wrdata;
+ litedramcore_master_p1_wrdata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_wrdata_en <= litedramcore_slave_p1_wrdata_en;
end else begin
- soc_sdram_master_p1_wrdata <= soc_sdram_inti_p1_wrdata;
+ litedramcore_master_p1_wrdata_en <= litedramcore_inti_p1_wrdata_en;
end
// synthesis translate_off
dummy_d_64 = dummy_s;
reg dummy_d_65;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p2_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_inti_p2_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_inti_p2_rddata <= soc_sdram_master_p2_rddata;
+ litedramcore_inti_p2_rddata_valid <= litedramcore_master_p2_rddata_valid;
end
// synthesis translate_off
dummy_d_65 = dummy_s;
reg dummy_d_66;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_wrdata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_wrdata_en <= soc_sdram_slave_p1_wrdata_en;
+ litedramcore_master_p1_wrdata_mask <= 4'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_wrdata_mask <= litedramcore_slave_p1_wrdata_mask;
end else begin
- soc_sdram_master_p1_wrdata_en <= soc_sdram_inti_p1_wrdata_en;
+ litedramcore_master_p1_wrdata_mask <= litedramcore_inti_p1_wrdata_mask;
end
// synthesis translate_off
dummy_d_66 = dummy_s;
reg dummy_d_67;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p2_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_master_p1_rddata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_rddata_en <= litedramcore_slave_p1_rddata_en;
end else begin
- soc_sdram_inti_p2_rddata_valid <= soc_sdram_master_p2_rddata_valid;
+ litedramcore_master_p1_rddata_en <= litedramcore_inti_p1_rddata_en;
end
// synthesis translate_off
dummy_d_67 = dummy_s;
reg dummy_d_68;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_wrdata_mask <= 4'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_wrdata_mask <= soc_sdram_slave_p1_wrdata_mask;
+ litedramcore_slave_p3_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p3_rddata <= litedramcore_master_p3_rddata;
end else begin
- soc_sdram_master_p1_wrdata_mask <= soc_sdram_inti_p1_wrdata_mask;
end
// synthesis translate_off
dummy_d_68 = dummy_s;
reg dummy_d_69;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_rddata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_rddata_en <= soc_sdram_slave_p1_rddata_en;
+ litedramcore_master_p2_address <= 14'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_address <= litedramcore_slave_p2_address;
end else begin
- soc_sdram_master_p1_rddata_en <= soc_sdram_inti_p1_rddata_en;
+ litedramcore_master_p2_address <= litedramcore_inti_p2_address;
end
// synthesis translate_off
dummy_d_69 = dummy_s;
reg dummy_d_70;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_address <= 14'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_address <= soc_sdram_slave_p2_address;
+ litedramcore_master_p2_bank <= 3'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_bank <= litedramcore_slave_p2_bank;
end else begin
- soc_sdram_master_p2_address <= soc_sdram_inti_p2_address;
+ litedramcore_master_p2_bank <= litedramcore_inti_p2_bank;
end
// synthesis translate_off
dummy_d_70 = dummy_s;
reg dummy_d_71;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_bank <= 3'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_bank <= soc_sdram_slave_p2_bank;
+ litedramcore_master_p2_cas_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_cas_n <= litedramcore_slave_p2_cas_n;
end else begin
- soc_sdram_master_p2_bank <= soc_sdram_inti_p2_bank;
+ litedramcore_master_p2_cas_n <= litedramcore_inti_p2_cas_n;
end
// synthesis translate_off
dummy_d_71 = dummy_s;
reg dummy_d_72;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_cas_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_cas_n <= soc_sdram_slave_p2_cas_n;
+ litedramcore_master_p2_cs_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_cs_n <= litedramcore_slave_p2_cs_n;
end else begin
- soc_sdram_master_p2_cas_n <= soc_sdram_inti_p2_cas_n;
+ litedramcore_master_p2_cs_n <= litedramcore_inti_p2_cs_n;
end
// synthesis translate_off
dummy_d_72 = dummy_s;
reg dummy_d_73;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_cs_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_cs_n <= soc_sdram_slave_p2_cs_n;
+ litedramcore_slave_p3_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p3_rddata_valid <= litedramcore_master_p3_rddata_valid;
end else begin
- soc_sdram_master_p2_cs_n <= soc_sdram_inti_p2_cs_n;
end
// synthesis translate_off
dummy_d_73 = dummy_s;
reg dummy_d_74;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_ras_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_ras_n <= soc_sdram_slave_p2_ras_n;
+ litedramcore_master_p2_ras_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_ras_n <= litedramcore_slave_p2_ras_n;
end else begin
- soc_sdram_master_p2_ras_n <= soc_sdram_inti_p2_ras_n;
+ litedramcore_master_p2_ras_n <= litedramcore_inti_p2_ras_n;
end
// synthesis translate_off
dummy_d_74 = dummy_s;
reg dummy_d_75;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p2_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p2_rddata <= soc_sdram_master_p2_rddata;
+ litedramcore_slave_p2_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p2_rddata <= litedramcore_master_p2_rddata;
end else begin
end
// synthesis translate_off
reg dummy_d_76;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_we_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_we_n <= soc_sdram_slave_p2_we_n;
+ litedramcore_master_p2_we_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_we_n <= litedramcore_slave_p2_we_n;
end else begin
- soc_sdram_master_p2_we_n <= soc_sdram_inti_p2_we_n;
+ litedramcore_master_p2_we_n <= litedramcore_inti_p2_we_n;
end
// synthesis translate_off
dummy_d_76 = dummy_s;
reg dummy_d_77;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p2_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p2_rddata_valid <= soc_sdram_master_p2_rddata_valid;
+ litedramcore_slave_p2_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p2_rddata_valid <= litedramcore_master_p2_rddata_valid;
end else begin
end
// synthesis translate_off
reg dummy_d_78;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_cke <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_cke <= soc_sdram_slave_p2_cke;
+ litedramcore_master_p2_cke <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_cke <= litedramcore_slave_p2_cke;
end else begin
- soc_sdram_master_p2_cke <= soc_sdram_inti_p2_cke;
+ litedramcore_master_p2_cke <= litedramcore_inti_p2_cke;
end
// synthesis translate_off
dummy_d_78 = dummy_s;
reg dummy_d_79;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_odt <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_odt <= soc_sdram_slave_p2_odt;
+ litedramcore_master_p2_odt <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_odt <= litedramcore_slave_p2_odt;
end else begin
- soc_sdram_master_p2_odt <= soc_sdram_inti_p2_odt;
+ litedramcore_master_p2_odt <= litedramcore_inti_p2_odt;
end
// synthesis translate_off
dummy_d_79 = dummy_s;
reg dummy_d_80;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_reset_n <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_reset_n <= soc_sdram_slave_p2_reset_n;
+ litedramcore_master_p2_reset_n <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_reset_n <= litedramcore_slave_p2_reset_n;
end else begin
- soc_sdram_master_p2_reset_n <= soc_sdram_inti_p2_reset_n;
+ litedramcore_master_p2_reset_n <= litedramcore_inti_p2_reset_n;
end
// synthesis translate_off
dummy_d_80 = dummy_s;
reg dummy_d_81;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_act_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_act_n <= soc_sdram_slave_p2_act_n;
+ litedramcore_master_p2_act_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_act_n <= litedramcore_slave_p2_act_n;
end else begin
- soc_sdram_master_p2_act_n <= soc_sdram_inti_p2_act_n;
+ litedramcore_master_p2_act_n <= litedramcore_inti_p2_act_n;
end
// synthesis translate_off
dummy_d_81 = dummy_s;
reg dummy_d_82;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_wrdata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_wrdata <= soc_sdram_slave_p2_wrdata;
+ litedramcore_master_p2_wrdata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_wrdata <= litedramcore_slave_p2_wrdata;
end else begin
- soc_sdram_master_p2_wrdata <= soc_sdram_inti_p2_wrdata;
+ litedramcore_master_p2_wrdata <= litedramcore_inti_p2_wrdata;
end
// synthesis translate_off
dummy_d_82 = dummy_s;
reg dummy_d_83;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p3_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_inti_p3_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_inti_p3_rddata <= soc_sdram_master_p3_rddata;
+ litedramcore_inti_p3_rddata <= litedramcore_master_p3_rddata;
end
// synthesis translate_off
dummy_d_83 = dummy_s;
reg dummy_d_84;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_wrdata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_wrdata_en <= soc_sdram_slave_p2_wrdata_en;
+ litedramcore_master_p2_wrdata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_wrdata_en <= litedramcore_slave_p2_wrdata_en;
end else begin
- soc_sdram_master_p2_wrdata_en <= soc_sdram_inti_p2_wrdata_en;
+ litedramcore_master_p2_wrdata_en <= litedramcore_inti_p2_wrdata_en;
end
// synthesis translate_off
dummy_d_84 = dummy_s;
reg dummy_d_85;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p3_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_inti_p3_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_inti_p3_rddata_valid <= soc_sdram_master_p3_rddata_valid;
+ litedramcore_inti_p3_rddata_valid <= litedramcore_master_p3_rddata_valid;
end
// synthesis translate_off
dummy_d_85 = dummy_s;
reg dummy_d_86;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_wrdata_mask <= 4'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_wrdata_mask <= soc_sdram_slave_p2_wrdata_mask;
+ litedramcore_master_p2_wrdata_mask <= 4'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_wrdata_mask <= litedramcore_slave_p2_wrdata_mask;
end else begin
- soc_sdram_master_p2_wrdata_mask <= soc_sdram_inti_p2_wrdata_mask;
+ litedramcore_master_p2_wrdata_mask <= litedramcore_inti_p2_wrdata_mask;
end
// synthesis translate_off
dummy_d_86 = dummy_s;
reg dummy_d_87;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_rddata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_rddata_en <= soc_sdram_slave_p2_rddata_en;
+ litedramcore_master_p2_rddata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_rddata_en <= litedramcore_slave_p2_rddata_en;
end else begin
- soc_sdram_master_p2_rddata_en <= soc_sdram_inti_p2_rddata_en;
+ litedramcore_master_p2_rddata_en <= litedramcore_inti_p2_rddata_en;
end
// synthesis translate_off
dummy_d_87 = dummy_s;
reg dummy_d_88;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_address <= 14'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_address <= soc_sdram_slave_p3_address;
+ litedramcore_master_p3_address <= 14'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_address <= litedramcore_slave_p3_address;
end else begin
- soc_sdram_master_p3_address <= soc_sdram_inti_p3_address;
+ litedramcore_master_p3_address <= litedramcore_inti_p3_address;
end
// synthesis translate_off
dummy_d_88 = dummy_s;
reg dummy_d_89;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_bank <= 3'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_bank <= soc_sdram_slave_p3_bank;
+ litedramcore_master_p3_bank <= 3'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_bank <= litedramcore_slave_p3_bank;
end else begin
- soc_sdram_master_p3_bank <= soc_sdram_inti_p3_bank;
+ litedramcore_master_p3_bank <= litedramcore_inti_p3_bank;
end
// synthesis translate_off
dummy_d_89 = dummy_s;
reg dummy_d_90;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_cas_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_cas_n <= soc_sdram_slave_p3_cas_n;
+ litedramcore_master_p3_cas_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_cas_n <= litedramcore_slave_p3_cas_n;
end else begin
- soc_sdram_master_p3_cas_n <= soc_sdram_inti_p3_cas_n;
+ litedramcore_master_p3_cas_n <= litedramcore_inti_p3_cas_n;
end
// synthesis translate_off
dummy_d_90 = dummy_s;
reg dummy_d_91;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_cs_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_cs_n <= soc_sdram_slave_p3_cs_n;
+ litedramcore_master_p3_cs_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_cs_n <= litedramcore_slave_p3_cs_n;
end else begin
- soc_sdram_master_p3_cs_n <= soc_sdram_inti_p3_cs_n;
+ litedramcore_master_p3_cs_n <= litedramcore_inti_p3_cs_n;
end
// synthesis translate_off
dummy_d_91 = dummy_s;
reg dummy_d_92;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_ras_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_ras_n <= soc_sdram_slave_p3_ras_n;
+ litedramcore_master_p3_ras_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_ras_n <= litedramcore_slave_p3_ras_n;
end else begin
- soc_sdram_master_p3_ras_n <= soc_sdram_inti_p3_ras_n;
+ litedramcore_master_p3_ras_n <= litedramcore_inti_p3_ras_n;
end
// synthesis translate_off
dummy_d_92 = dummy_s;
reg dummy_d_93;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p3_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p3_rddata <= soc_sdram_master_p3_rddata;
+ litedramcore_master_p3_we_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_we_n <= litedramcore_slave_p3_we_n;
end else begin
+ litedramcore_master_p3_we_n <= litedramcore_inti_p3_we_n;
end
// synthesis translate_off
dummy_d_93 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_inti_p0_cke = litedramcore_storage[1];
+assign litedramcore_inti_p1_cke = litedramcore_storage[1];
+assign litedramcore_inti_p2_cke = litedramcore_storage[1];
+assign litedramcore_inti_p3_cke = litedramcore_storage[1];
+assign litedramcore_inti_p0_odt = litedramcore_storage[2];
+assign litedramcore_inti_p1_odt = litedramcore_storage[2];
+assign litedramcore_inti_p2_odt = litedramcore_storage[2];
+assign litedramcore_inti_p3_odt = litedramcore_storage[2];
+assign litedramcore_inti_p0_reset_n = litedramcore_storage[3];
+assign litedramcore_inti_p1_reset_n = litedramcore_storage[3];
+assign litedramcore_inti_p2_reset_n = litedramcore_storage[3];
+assign litedramcore_inti_p3_reset_n = litedramcore_storage[3];
// synthesis translate_off
reg dummy_d_94;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_we_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_we_n <= soc_sdram_slave_p3_we_n;
+ litedramcore_inti_p0_cas_n <= 1'd1;
+ if (litedramcore_phaseinjector0_command_issue_re) begin
+ litedramcore_inti_p0_cas_n <= (~litedramcore_phaseinjector0_command_storage[2]);
end else begin
- soc_sdram_master_p3_we_n <= soc_sdram_inti_p3_we_n;
+ litedramcore_inti_p0_cas_n <= 1'd1;
end
// synthesis translate_off
dummy_d_94 = dummy_s;
reg dummy_d_95;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p3_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p3_rddata_valid <= soc_sdram_master_p3_rddata_valid;
+ litedramcore_inti_p0_cs_n <= 1'd1;
+ if (litedramcore_phaseinjector0_command_issue_re) begin
+ litedramcore_inti_p0_cs_n <= {1{(~litedramcore_phaseinjector0_command_storage[0])}};
end else begin
+ litedramcore_inti_p0_cs_n <= {1{1'd1}};
end
// synthesis translate_off
dummy_d_95 = dummy_s;
reg dummy_d_96;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_cke <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_cke <= soc_sdram_slave_p3_cke;
+ litedramcore_inti_p0_ras_n <= 1'd1;
+ if (litedramcore_phaseinjector0_command_issue_re) begin
+ litedramcore_inti_p0_ras_n <= (~litedramcore_phaseinjector0_command_storage[3]);
end else begin
- soc_sdram_master_p3_cke <= soc_sdram_inti_p3_cke;
+ litedramcore_inti_p0_ras_n <= 1'd1;
end
// synthesis translate_off
dummy_d_96 = dummy_s;
reg dummy_d_97;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_odt <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_odt <= soc_sdram_slave_p3_odt;
+ litedramcore_inti_p0_we_n <= 1'd1;
+ if (litedramcore_phaseinjector0_command_issue_re) begin
+ litedramcore_inti_p0_we_n <= (~litedramcore_phaseinjector0_command_storage[1]);
end else begin
- soc_sdram_master_p3_odt <= soc_sdram_inti_p3_odt;
+ litedramcore_inti_p0_we_n <= 1'd1;
end
// synthesis translate_off
dummy_d_97 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_inti_p0_address = litedramcore_phaseinjector0_address_storage;
+assign litedramcore_inti_p0_bank = litedramcore_phaseinjector0_baddress_storage;
+assign litedramcore_inti_p0_wrdata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_command_storage[4]);
+assign litedramcore_inti_p0_rddata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_command_storage[5]);
+assign litedramcore_inti_p0_wrdata = litedramcore_phaseinjector0_wrdata_storage;
+assign litedramcore_inti_p0_wrdata_mask = 1'd0;
// synthesis translate_off
reg dummy_d_98;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_reset_n <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_reset_n <= soc_sdram_slave_p3_reset_n;
+ litedramcore_inti_p1_cas_n <= 1'd1;
+ if (litedramcore_phaseinjector1_command_issue_re) begin
+ litedramcore_inti_p1_cas_n <= (~litedramcore_phaseinjector1_command_storage[2]);
end else begin
- soc_sdram_master_p3_reset_n <= soc_sdram_inti_p3_reset_n;
+ litedramcore_inti_p1_cas_n <= 1'd1;
end
// synthesis translate_off
dummy_d_98 = dummy_s;
reg dummy_d_99;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_act_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_act_n <= soc_sdram_slave_p3_act_n;
+ litedramcore_inti_p1_cs_n <= 1'd1;
+ if (litedramcore_phaseinjector1_command_issue_re) begin
+ litedramcore_inti_p1_cs_n <= {1{(~litedramcore_phaseinjector1_command_storage[0])}};
end else begin
- soc_sdram_master_p3_act_n <= soc_sdram_inti_p3_act_n;
+ litedramcore_inti_p1_cs_n <= {1{1'd1}};
end
// synthesis translate_off
dummy_d_99 = dummy_s;
reg dummy_d_100;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_wrdata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_wrdata <= soc_sdram_slave_p3_wrdata;
+ litedramcore_inti_p1_ras_n <= 1'd1;
+ if (litedramcore_phaseinjector1_command_issue_re) begin
+ litedramcore_inti_p1_ras_n <= (~litedramcore_phaseinjector1_command_storage[3]);
end else begin
- soc_sdram_master_p3_wrdata <= soc_sdram_inti_p3_wrdata;
+ litedramcore_inti_p1_ras_n <= 1'd1;
end
// synthesis translate_off
dummy_d_100 = dummy_s;
reg dummy_d_101;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p0_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_inti_p1_we_n <= 1'd1;
+ if (litedramcore_phaseinjector1_command_issue_re) begin
+ litedramcore_inti_p1_we_n <= (~litedramcore_phaseinjector1_command_storage[1]);
end else begin
- soc_sdram_inti_p0_rddata <= soc_sdram_master_p0_rddata;
+ litedramcore_inti_p1_we_n <= 1'd1;
end
// synthesis translate_off
dummy_d_101 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_inti_p1_address = litedramcore_phaseinjector1_address_storage;
+assign litedramcore_inti_p1_bank = litedramcore_phaseinjector1_baddress_storage;
+assign litedramcore_inti_p1_wrdata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_command_storage[4]);
+assign litedramcore_inti_p1_rddata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_command_storage[5]);
+assign litedramcore_inti_p1_wrdata = litedramcore_phaseinjector1_wrdata_storage;
+assign litedramcore_inti_p1_wrdata_mask = 1'd0;
// synthesis translate_off
reg dummy_d_102;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_wrdata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_wrdata_en <= soc_sdram_slave_p3_wrdata_en;
+ litedramcore_inti_p2_cas_n <= 1'd1;
+ if (litedramcore_phaseinjector2_command_issue_re) begin
+ litedramcore_inti_p2_cas_n <= (~litedramcore_phaseinjector2_command_storage[2]);
end else begin
- soc_sdram_master_p3_wrdata_en <= soc_sdram_inti_p3_wrdata_en;
+ litedramcore_inti_p2_cas_n <= 1'd1;
end
// synthesis translate_off
dummy_d_102 = dummy_s;
reg dummy_d_103;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p0_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_inti_p2_cs_n <= 1'd1;
+ if (litedramcore_phaseinjector2_command_issue_re) begin
+ litedramcore_inti_p2_cs_n <= {1{(~litedramcore_phaseinjector2_command_storage[0])}};
end else begin
- soc_sdram_inti_p0_rddata_valid <= soc_sdram_master_p0_rddata_valid;
+ litedramcore_inti_p2_cs_n <= {1{1'd1}};
end
// synthesis translate_off
dummy_d_103 = dummy_s;
reg dummy_d_104;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_wrdata_mask <= 4'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_wrdata_mask <= soc_sdram_slave_p3_wrdata_mask;
+ litedramcore_inti_p2_ras_n <= 1'd1;
+ if (litedramcore_phaseinjector2_command_issue_re) begin
+ litedramcore_inti_p2_ras_n <= (~litedramcore_phaseinjector2_command_storage[3]);
end else begin
- soc_sdram_master_p3_wrdata_mask <= soc_sdram_inti_p3_wrdata_mask;
+ litedramcore_inti_p2_ras_n <= 1'd1;
end
// synthesis translate_off
dummy_d_104 = dummy_s;
reg dummy_d_105;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_rddata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_rddata_en <= soc_sdram_slave_p3_rddata_en;
+ litedramcore_inti_p2_we_n <= 1'd1;
+ if (litedramcore_phaseinjector2_command_issue_re) begin
+ litedramcore_inti_p2_we_n <= (~litedramcore_phaseinjector2_command_storage[1]);
end else begin
- soc_sdram_master_p3_rddata_en <= soc_sdram_inti_p3_rddata_en;
+ litedramcore_inti_p2_we_n <= 1'd1;
end
// synthesis translate_off
dummy_d_105 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_inti_p2_address = litedramcore_phaseinjector2_address_storage;
+assign litedramcore_inti_p2_bank = litedramcore_phaseinjector2_baddress_storage;
+assign litedramcore_inti_p2_wrdata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_command_storage[4]);
+assign litedramcore_inti_p2_rddata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_command_storage[5]);
+assign litedramcore_inti_p2_wrdata = litedramcore_phaseinjector2_wrdata_storage;
+assign litedramcore_inti_p2_wrdata_mask = 1'd0;
// synthesis translate_off
reg dummy_d_106;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_address <= 14'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_address <= soc_sdram_slave_p0_address;
+ litedramcore_inti_p3_cas_n <= 1'd1;
+ if (litedramcore_phaseinjector3_command_issue_re) begin
+ litedramcore_inti_p3_cas_n <= (~litedramcore_phaseinjector3_command_storage[2]);
end else begin
- soc_sdram_master_p0_address <= soc_sdram_inti_p0_address;
+ litedramcore_inti_p3_cas_n <= 1'd1;
end
// synthesis translate_off
dummy_d_106 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_inti_p0_cke = soc_sdram_storage[1];
-assign soc_sdram_inti_p1_cke = soc_sdram_storage[1];
-assign soc_sdram_inti_p2_cke = soc_sdram_storage[1];
-assign soc_sdram_inti_p3_cke = soc_sdram_storage[1];
-assign soc_sdram_inti_p0_odt = soc_sdram_storage[2];
-assign soc_sdram_inti_p1_odt = soc_sdram_storage[2];
-assign soc_sdram_inti_p2_odt = soc_sdram_storage[2];
-assign soc_sdram_inti_p3_odt = soc_sdram_storage[2];
-assign soc_sdram_inti_p0_reset_n = soc_sdram_storage[3];
-assign soc_sdram_inti_p1_reset_n = soc_sdram_storage[3];
-assign soc_sdram_inti_p2_reset_n = soc_sdram_storage[3];
-assign soc_sdram_inti_p3_reset_n = soc_sdram_storage[3];
// synthesis translate_off
reg dummy_d_107;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p0_we_n <= 1'd1;
- if (soc_sdram_phaseinjector0_command_issue_re) begin
- soc_sdram_inti_p0_we_n <= (~soc_sdram_phaseinjector0_command_storage[1]);
+ litedramcore_inti_p3_cs_n <= 1'd1;
+ if (litedramcore_phaseinjector3_command_issue_re) begin
+ litedramcore_inti_p3_cs_n <= {1{(~litedramcore_phaseinjector3_command_storage[0])}};
end else begin
- soc_sdram_inti_p0_we_n <= 1'd1;
+ litedramcore_inti_p3_cs_n <= {1{1'd1}};
end
// synthesis translate_off
dummy_d_107 = dummy_s;
reg dummy_d_108;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p0_cas_n <= 1'd1;
- if (soc_sdram_phaseinjector0_command_issue_re) begin
- soc_sdram_inti_p0_cas_n <= (~soc_sdram_phaseinjector0_command_storage[2]);
+ litedramcore_inti_p3_ras_n <= 1'd1;
+ if (litedramcore_phaseinjector3_command_issue_re) begin
+ litedramcore_inti_p3_ras_n <= (~litedramcore_phaseinjector3_command_storage[3]);
end else begin
- soc_sdram_inti_p0_cas_n <= 1'd1;
+ litedramcore_inti_p3_ras_n <= 1'd1;
end
// synthesis translate_off
dummy_d_108 = dummy_s;
reg dummy_d_109;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p0_cs_n <= 1'd1;
- if (soc_sdram_phaseinjector0_command_issue_re) begin
- soc_sdram_inti_p0_cs_n <= {1{(~soc_sdram_phaseinjector0_command_storage[0])}};
+ litedramcore_inti_p3_we_n <= 1'd1;
+ if (litedramcore_phaseinjector3_command_issue_re) begin
+ litedramcore_inti_p3_we_n <= (~litedramcore_phaseinjector3_command_storage[1]);
end else begin
- soc_sdram_inti_p0_cs_n <= {1{1'd1}};
+ litedramcore_inti_p3_we_n <= 1'd1;
end
// synthesis translate_off
dummy_d_109 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_inti_p3_address = litedramcore_phaseinjector3_address_storage;
+assign litedramcore_inti_p3_bank = litedramcore_phaseinjector3_baddress_storage;
+assign litedramcore_inti_p3_wrdata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_command_storage[4]);
+assign litedramcore_inti_p3_rddata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_command_storage[5]);
+assign litedramcore_inti_p3_wrdata = litedramcore_phaseinjector3_wrdata_storage;
+assign litedramcore_inti_p3_wrdata_mask = 1'd0;
+assign litedramcore_bankmachine0_req_valid = litedramcore_interface_bank0_valid;
+assign litedramcore_interface_bank0_ready = litedramcore_bankmachine0_req_ready;
+assign litedramcore_bankmachine0_req_we = litedramcore_interface_bank0_we;
+assign litedramcore_bankmachine0_req_addr = litedramcore_interface_bank0_addr;
+assign litedramcore_interface_bank0_lock = litedramcore_bankmachine0_req_lock;
+assign litedramcore_interface_bank0_wdata_ready = litedramcore_bankmachine0_req_wdata_ready;
+assign litedramcore_interface_bank0_rdata_valid = litedramcore_bankmachine0_req_rdata_valid;
+assign litedramcore_bankmachine1_req_valid = litedramcore_interface_bank1_valid;
+assign litedramcore_interface_bank1_ready = litedramcore_bankmachine1_req_ready;
+assign litedramcore_bankmachine1_req_we = litedramcore_interface_bank1_we;
+assign litedramcore_bankmachine1_req_addr = litedramcore_interface_bank1_addr;
+assign litedramcore_interface_bank1_lock = litedramcore_bankmachine1_req_lock;
+assign litedramcore_interface_bank1_wdata_ready = litedramcore_bankmachine1_req_wdata_ready;
+assign litedramcore_interface_bank1_rdata_valid = litedramcore_bankmachine1_req_rdata_valid;
+assign litedramcore_bankmachine2_req_valid = litedramcore_interface_bank2_valid;
+assign litedramcore_interface_bank2_ready = litedramcore_bankmachine2_req_ready;
+assign litedramcore_bankmachine2_req_we = litedramcore_interface_bank2_we;
+assign litedramcore_bankmachine2_req_addr = litedramcore_interface_bank2_addr;
+assign litedramcore_interface_bank2_lock = litedramcore_bankmachine2_req_lock;
+assign litedramcore_interface_bank2_wdata_ready = litedramcore_bankmachine2_req_wdata_ready;
+assign litedramcore_interface_bank2_rdata_valid = litedramcore_bankmachine2_req_rdata_valid;
+assign litedramcore_bankmachine3_req_valid = litedramcore_interface_bank3_valid;
+assign litedramcore_interface_bank3_ready = litedramcore_bankmachine3_req_ready;
+assign litedramcore_bankmachine3_req_we = litedramcore_interface_bank3_we;
+assign litedramcore_bankmachine3_req_addr = litedramcore_interface_bank3_addr;
+assign litedramcore_interface_bank3_lock = litedramcore_bankmachine3_req_lock;
+assign litedramcore_interface_bank3_wdata_ready = litedramcore_bankmachine3_req_wdata_ready;
+assign litedramcore_interface_bank3_rdata_valid = litedramcore_bankmachine3_req_rdata_valid;
+assign litedramcore_bankmachine4_req_valid = litedramcore_interface_bank4_valid;
+assign litedramcore_interface_bank4_ready = litedramcore_bankmachine4_req_ready;
+assign litedramcore_bankmachine4_req_we = litedramcore_interface_bank4_we;
+assign litedramcore_bankmachine4_req_addr = litedramcore_interface_bank4_addr;
+assign litedramcore_interface_bank4_lock = litedramcore_bankmachine4_req_lock;
+assign litedramcore_interface_bank4_wdata_ready = litedramcore_bankmachine4_req_wdata_ready;
+assign litedramcore_interface_bank4_rdata_valid = litedramcore_bankmachine4_req_rdata_valid;
+assign litedramcore_bankmachine5_req_valid = litedramcore_interface_bank5_valid;
+assign litedramcore_interface_bank5_ready = litedramcore_bankmachine5_req_ready;
+assign litedramcore_bankmachine5_req_we = litedramcore_interface_bank5_we;
+assign litedramcore_bankmachine5_req_addr = litedramcore_interface_bank5_addr;
+assign litedramcore_interface_bank5_lock = litedramcore_bankmachine5_req_lock;
+assign litedramcore_interface_bank5_wdata_ready = litedramcore_bankmachine5_req_wdata_ready;
+assign litedramcore_interface_bank5_rdata_valid = litedramcore_bankmachine5_req_rdata_valid;
+assign litedramcore_bankmachine6_req_valid = litedramcore_interface_bank6_valid;
+assign litedramcore_interface_bank6_ready = litedramcore_bankmachine6_req_ready;
+assign litedramcore_bankmachine6_req_we = litedramcore_interface_bank6_we;
+assign litedramcore_bankmachine6_req_addr = litedramcore_interface_bank6_addr;
+assign litedramcore_interface_bank6_lock = litedramcore_bankmachine6_req_lock;
+assign litedramcore_interface_bank6_wdata_ready = litedramcore_bankmachine6_req_wdata_ready;
+assign litedramcore_interface_bank6_rdata_valid = litedramcore_bankmachine6_req_rdata_valid;
+assign litedramcore_bankmachine7_req_valid = litedramcore_interface_bank7_valid;
+assign litedramcore_interface_bank7_ready = litedramcore_bankmachine7_req_ready;
+assign litedramcore_bankmachine7_req_we = litedramcore_interface_bank7_we;
+assign litedramcore_bankmachine7_req_addr = litedramcore_interface_bank7_addr;
+assign litedramcore_interface_bank7_lock = litedramcore_bankmachine7_req_lock;
+assign litedramcore_interface_bank7_wdata_ready = litedramcore_bankmachine7_req_wdata_ready;
+assign litedramcore_interface_bank7_rdata_valid = litedramcore_bankmachine7_req_rdata_valid;
+assign litedramcore_timer_wait = (~litedramcore_timer_done0);
+assign litedramcore_postponer_req_i = litedramcore_timer_done0;
+assign litedramcore_wants_refresh = litedramcore_postponer_req_o;
+assign litedramcore_wants_zqcs = litedramcore_zqcs_timer_done0;
+assign litedramcore_zqcs_timer_wait = (~litedramcore_zqcs_executer_done);
+assign litedramcore_timer_done1 = (litedramcore_timer_count1 == 1'd0);
+assign litedramcore_timer_done0 = litedramcore_timer_done1;
+assign litedramcore_timer_count0 = litedramcore_timer_count1;
+assign litedramcore_sequencer_start1 = (litedramcore_sequencer_start0 | (litedramcore_sequencer_count != 1'd0));
+assign litedramcore_sequencer_done0 = (litedramcore_sequencer_done1 & (litedramcore_sequencer_count == 1'd0));
+assign litedramcore_zqcs_timer_done1 = (litedramcore_zqcs_timer_count1 == 1'd0);
+assign litedramcore_zqcs_timer_done0 = litedramcore_zqcs_timer_done1;
+assign litedramcore_zqcs_timer_count0 = litedramcore_zqcs_timer_count1;
// synthesis translate_off
reg dummy_d_110;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p0_ras_n <= 1'd1;
- if (soc_sdram_phaseinjector0_command_issue_re) begin
- soc_sdram_inti_p0_ras_n <= (~soc_sdram_phaseinjector0_command_storage[3]);
- end else begin
- soc_sdram_inti_p0_ras_n <= 1'd1;
- end
+ refresher_next_state <= 2'd0;
+ refresher_next_state <= refresher_state;
+ case (refresher_state)
+ 1'd1: begin
+ if (litedramcore_cmd_ready) begin
+ refresher_next_state <= 2'd2;
+ end
+ end
+ 2'd2: begin
+ if (litedramcore_sequencer_done0) begin
+ if (litedramcore_wants_zqcs) begin
+ refresher_next_state <= 2'd3;
+ end else begin
+ refresher_next_state <= 1'd0;
+ end
+ end
+ end
+ 2'd3: begin
+ if (litedramcore_zqcs_executer_done) begin
+ refresher_next_state <= 1'd0;
+ end
+ end
+ default: begin
+ if (1'd1) begin
+ if (litedramcore_wants_refresh) begin
+ refresher_next_state <= 1'd1;
+ end
+ end
+ end
+ endcase
// synthesis translate_off
dummy_d_110 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_inti_p0_address = soc_sdram_phaseinjector0_address_storage;
-assign soc_sdram_inti_p0_bank = soc_sdram_phaseinjector0_baddress_storage;
-assign soc_sdram_inti_p0_wrdata_en = (soc_sdram_phaseinjector0_command_issue_re & soc_sdram_phaseinjector0_command_storage[4]);
-assign soc_sdram_inti_p0_rddata_en = (soc_sdram_phaseinjector0_command_issue_re & soc_sdram_phaseinjector0_command_storage[5]);
-assign soc_sdram_inti_p0_wrdata = soc_sdram_phaseinjector0_wrdata_storage;
-assign soc_sdram_inti_p0_wrdata_mask = 1'd0;
// synthesis translate_off
reg dummy_d_111;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p1_we_n <= 1'd1;
- if (soc_sdram_phaseinjector1_command_issue_re) begin
- soc_sdram_inti_p1_we_n <= (~soc_sdram_phaseinjector1_command_storage[1]);
- end else begin
- soc_sdram_inti_p1_we_n <= 1'd1;
- end
+ litedramcore_cmd_valid <= 1'd0;
+ case (refresher_state)
+ 1'd1: begin
+ litedramcore_cmd_valid <= 1'd1;
+ end
+ 2'd2: begin
+ litedramcore_cmd_valid <= 1'd1;
+ if (litedramcore_sequencer_done0) begin
+ if (litedramcore_wants_zqcs) begin
+ end else begin
+ litedramcore_cmd_valid <= 1'd0;
+ end
+ end
+ end
+ 2'd3: begin
+ litedramcore_cmd_valid <= 1'd1;
+ if (litedramcore_zqcs_executer_done) begin
+ litedramcore_cmd_valid <= 1'd0;
+ end
+ end
+ default: begin
+ end
+ endcase
// synthesis translate_off
dummy_d_111 = dummy_s;
// synthesis translate_on
reg dummy_d_112;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p1_cas_n <= 1'd1;
- if (soc_sdram_phaseinjector1_command_issue_re) begin
- soc_sdram_inti_p1_cas_n <= (~soc_sdram_phaseinjector1_command_storage[2]);
- end else begin
- soc_sdram_inti_p1_cas_n <= 1'd1;
- end
+ litedramcore_zqcs_executer_start <= 1'd0;
+ case (refresher_state)
+ 1'd1: begin
+ end
+ 2'd2: begin
+ if (litedramcore_sequencer_done0) begin
+ if (litedramcore_wants_zqcs) begin
+ litedramcore_zqcs_executer_start <= 1'd1;
+ end else begin
+ end
+ end
+ end
+ 2'd3: begin
+ end
+ default: begin
+ end
+ endcase
// synthesis translate_off
dummy_d_112 = dummy_s;
// synthesis translate_on
reg dummy_d_113;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p1_cs_n <= 1'd1;
- if (soc_sdram_phaseinjector1_command_issue_re) begin
- soc_sdram_inti_p1_cs_n <= {1{(~soc_sdram_phaseinjector1_command_storage[0])}};
- end else begin
- soc_sdram_inti_p1_cs_n <= {1{1'd1}};
- end
+ litedramcore_cmd_last <= 1'd0;
+ case (refresher_state)
+ 1'd1: begin
+ end
+ 2'd2: begin
+ if (litedramcore_sequencer_done0) begin
+ if (litedramcore_wants_zqcs) begin
+ end else begin
+ litedramcore_cmd_last <= 1'd1;
+ end
+ end
+ end
+ 2'd3: begin
+ if (litedramcore_zqcs_executer_done) begin
+ litedramcore_cmd_last <= 1'd1;
+ end
+ end
+ default: begin
+ end
+ endcase
// synthesis translate_off
dummy_d_113 = dummy_s;
// synthesis translate_on
reg dummy_d_114;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p1_ras_n <= 1'd1;
- if (soc_sdram_phaseinjector1_command_issue_re) begin
- soc_sdram_inti_p1_ras_n <= (~soc_sdram_phaseinjector1_command_storage[3]);
- end else begin
- soc_sdram_inti_p1_ras_n <= 1'd1;
- end
+ litedramcore_sequencer_start0 <= 1'd0;
+ case (refresher_state)
+ 1'd1: begin
+ if (litedramcore_cmd_ready) begin
+ litedramcore_sequencer_start0 <= 1'd1;
+ end
+ end
+ 2'd2: begin
+ end
+ 2'd3: begin
+ end
+ default: begin
+ end
+ endcase
// synthesis translate_off
dummy_d_114 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_inti_p1_address = soc_sdram_phaseinjector1_address_storage;
-assign soc_sdram_inti_p1_bank = soc_sdram_phaseinjector1_baddress_storage;
-assign soc_sdram_inti_p1_wrdata_en = (soc_sdram_phaseinjector1_command_issue_re & soc_sdram_phaseinjector1_command_storage[4]);
-assign soc_sdram_inti_p1_rddata_en = (soc_sdram_phaseinjector1_command_issue_re & soc_sdram_phaseinjector1_command_storage[5]);
-assign soc_sdram_inti_p1_wrdata = soc_sdram_phaseinjector1_wrdata_storage;
-assign soc_sdram_inti_p1_wrdata_mask = 1'd0;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine0_req_valid;
+assign litedramcore_bankmachine0_req_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine0_req_we;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine0_req_addr;
+assign litedramcore_bankmachine0_cmd_buffer_sink_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine0_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine0_cmd_buffer_sink_first = litedramcore_bankmachine0_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine0_cmd_buffer_sink_last = litedramcore_bankmachine0_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine0_cmd_buffer_sink_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine0_cmd_buffer_sink_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine0_cmd_buffer_source_ready = (litedramcore_bankmachine0_req_wdata_ready | litedramcore_bankmachine0_req_rdata_valid);
+assign litedramcore_bankmachine0_req_lock = (litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine0_cmd_buffer_source_valid);
+assign litedramcore_bankmachine0_row_hit = (litedramcore_bankmachine0_row == litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7]);
+assign litedramcore_bankmachine0_cmd_payload_ba = 1'd0;
// synthesis translate_off
reg dummy_d_115;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p2_we_n <= 1'd1;
- if (soc_sdram_phaseinjector2_command_issue_re) begin
- soc_sdram_inti_p2_we_n <= (~soc_sdram_phaseinjector2_command_storage[1]);
+ litedramcore_bankmachine0_cmd_payload_a <= 14'd0;
+ if (litedramcore_bankmachine0_row_col_n_addr_sel) begin
+ litedramcore_bankmachine0_cmd_payload_a <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7];
end else begin
- soc_sdram_inti_p2_we_n <= 1'd1;
+ litedramcore_bankmachine0_cmd_payload_a <= ((litedramcore_bankmachine0_auto_precharge <<< 4'd10) | {litedramcore_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
dummy_d_115 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine0_twtpcon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_cmd_payload_is_write);
+assign litedramcore_bankmachine0_trccon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open);
+assign litedramcore_bankmachine0_trascon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open);
// synthesis translate_off
reg dummy_d_116;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p2_cas_n <= 1'd1;
- if (soc_sdram_phaseinjector2_command_issue_re) begin
- soc_sdram_inti_p2_cas_n <= (~soc_sdram_phaseinjector2_command_storage[2]);
- end else begin
- soc_sdram_inti_p2_cas_n <= 1'd1;
+ litedramcore_bankmachine0_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine0_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7])) begin
+ litedramcore_bankmachine0_auto_precharge <= (litedramcore_bankmachine0_row_close == 1'd0);
+ end
end
// synthesis translate_off
dummy_d_116 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
+assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
+assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
+assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_first = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_last = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
reg dummy_d_117;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p2_cs_n <= 1'd1;
- if (soc_sdram_phaseinjector2_command_issue_re) begin
- soc_sdram_inti_p2_cs_n <= {1{(~soc_sdram_phaseinjector2_command_storage[0])}};
+ litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine0_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce - 1'd1);
end else begin
- soc_sdram_inti_p2_cs_n <= {1{1'd1}};
+ litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine0_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
dummy_d_117 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | litedramcore_bankmachine0_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re);
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine0_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready);
// synthesis translate_off
reg dummy_d_118;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p2_ras_n <= 1'd1;
- if (soc_sdram_phaseinjector2_command_issue_re) begin
- soc_sdram_inti_p2_ras_n <= (~soc_sdram_phaseinjector2_command_storage[3]);
- end else begin
- soc_sdram_inti_p2_ras_n <= 1'd1;
- end
+ bankmachine0_next_state <= 4'd0;
+ bankmachine0_next_state <= bankmachine0_state;
+ case (bankmachine0_state)
+ 1'd1: begin
+ if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+ if (litedramcore_bankmachine0_cmd_ready) begin
+ bankmachine0_next_state <= 3'd5;
+ end
+ end
+ end
+ 2'd2: begin
+ if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+ bankmachine0_next_state <= 3'd5;
+ end
+ end
+ 2'd3: begin
+ if (litedramcore_bankmachine0_trccon_ready) begin
+ if (litedramcore_bankmachine0_cmd_ready) begin
+ bankmachine0_next_state <= 3'd7;
+ end
+ end
+ end
+ 3'd4: begin
+ if ((~litedramcore_bankmachine0_refresh_req)) begin
+ bankmachine0_next_state <= 1'd0;
+ end
+ end
+ 3'd5: begin
+ bankmachine0_next_state <= 3'd6;
+ end
+ 3'd6: begin
+ bankmachine0_next_state <= 2'd3;
+ end
+ 3'd7: begin
+ bankmachine0_next_state <= 4'd8;
+ end
+ 4'd8: begin
+ bankmachine0_next_state <= 1'd0;
+ end
+ default: begin
+ if (litedramcore_bankmachine0_refresh_req) begin
+ bankmachine0_next_state <= 3'd4;
+ end else begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ if ((litedramcore_bankmachine0_cmd_ready & litedramcore_bankmachine0_auto_precharge)) begin
+ bankmachine0_next_state <= 2'd2;
+ end
+ end else begin
+ bankmachine0_next_state <= 1'd1;
+ end
+ end else begin
+ bankmachine0_next_state <= 2'd3;
+ end
+ end
+ end
+ end
+ endcase
// synthesis translate_off
dummy_d_118 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_inti_p2_address = soc_sdram_phaseinjector2_address_storage;
-assign soc_sdram_inti_p2_bank = soc_sdram_phaseinjector2_baddress_storage;
-assign soc_sdram_inti_p2_wrdata_en = (soc_sdram_phaseinjector2_command_issue_re & soc_sdram_phaseinjector2_command_storage[4]);
-assign soc_sdram_inti_p2_rddata_en = (soc_sdram_phaseinjector2_command_issue_re & soc_sdram_phaseinjector2_command_storage[5]);
-assign soc_sdram_inti_p2_wrdata = soc_sdram_phaseinjector2_wrdata_storage;
-assign soc_sdram_inti_p2_wrdata_mask = 1'd0;
// synthesis translate_off
reg dummy_d_119;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p3_we_n <= 1'd1;
- if (soc_sdram_phaseinjector3_command_issue_re) begin
- soc_sdram_inti_p3_we_n <= (~soc_sdram_phaseinjector3_command_storage[1]);
- end else begin
- soc_sdram_inti_p3_we_n <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_119 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_120;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_inti_p3_cas_n <= 1'd1;
- if (soc_sdram_phaseinjector3_command_issue_re) begin
- soc_sdram_inti_p3_cas_n <= (~soc_sdram_phaseinjector3_command_storage[2]);
- end else begin
- soc_sdram_inti_p3_cas_n <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_120 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_121;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_inti_p3_cs_n <= 1'd1;
- if (soc_sdram_phaseinjector3_command_issue_re) begin
- soc_sdram_inti_p3_cs_n <= {1{(~soc_sdram_phaseinjector3_command_storage[0])}};
- end else begin
- soc_sdram_inti_p3_cs_n <= {1{1'd1}};
- end
-// synthesis translate_off
- dummy_d_121 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_122;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_inti_p3_ras_n <= 1'd1;
- if (soc_sdram_phaseinjector3_command_issue_re) begin
- soc_sdram_inti_p3_ras_n <= (~soc_sdram_phaseinjector3_command_storage[3]);
- end else begin
- soc_sdram_inti_p3_ras_n <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_122 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_inti_p3_address = soc_sdram_phaseinjector3_address_storage;
-assign soc_sdram_inti_p3_bank = soc_sdram_phaseinjector3_baddress_storage;
-assign soc_sdram_inti_p3_wrdata_en = (soc_sdram_phaseinjector3_command_issue_re & soc_sdram_phaseinjector3_command_storage[4]);
-assign soc_sdram_inti_p3_rddata_en = (soc_sdram_phaseinjector3_command_issue_re & soc_sdram_phaseinjector3_command_storage[5]);
-assign soc_sdram_inti_p3_wrdata = soc_sdram_phaseinjector3_wrdata_storage;
-assign soc_sdram_inti_p3_wrdata_mask = 1'd0;
-assign soc_sdram_bankmachine0_req_valid = soc_sdram_interface_bank0_valid;
-assign soc_sdram_interface_bank0_ready = soc_sdram_bankmachine0_req_ready;
-assign soc_sdram_bankmachine0_req_we = soc_sdram_interface_bank0_we;
-assign soc_sdram_bankmachine0_req_addr = soc_sdram_interface_bank0_addr;
-assign soc_sdram_interface_bank0_lock = soc_sdram_bankmachine0_req_lock;
-assign soc_sdram_interface_bank0_wdata_ready = soc_sdram_bankmachine0_req_wdata_ready;
-assign soc_sdram_interface_bank0_rdata_valid = soc_sdram_bankmachine0_req_rdata_valid;
-assign soc_sdram_bankmachine1_req_valid = soc_sdram_interface_bank1_valid;
-assign soc_sdram_interface_bank1_ready = soc_sdram_bankmachine1_req_ready;
-assign soc_sdram_bankmachine1_req_we = soc_sdram_interface_bank1_we;
-assign soc_sdram_bankmachine1_req_addr = soc_sdram_interface_bank1_addr;
-assign soc_sdram_interface_bank1_lock = soc_sdram_bankmachine1_req_lock;
-assign soc_sdram_interface_bank1_wdata_ready = soc_sdram_bankmachine1_req_wdata_ready;
-assign soc_sdram_interface_bank1_rdata_valid = soc_sdram_bankmachine1_req_rdata_valid;
-assign soc_sdram_bankmachine2_req_valid = soc_sdram_interface_bank2_valid;
-assign soc_sdram_interface_bank2_ready = soc_sdram_bankmachine2_req_ready;
-assign soc_sdram_bankmachine2_req_we = soc_sdram_interface_bank2_we;
-assign soc_sdram_bankmachine2_req_addr = soc_sdram_interface_bank2_addr;
-assign soc_sdram_interface_bank2_lock = soc_sdram_bankmachine2_req_lock;
-assign soc_sdram_interface_bank2_wdata_ready = soc_sdram_bankmachine2_req_wdata_ready;
-assign soc_sdram_interface_bank2_rdata_valid = soc_sdram_bankmachine2_req_rdata_valid;
-assign soc_sdram_bankmachine3_req_valid = soc_sdram_interface_bank3_valid;
-assign soc_sdram_interface_bank3_ready = soc_sdram_bankmachine3_req_ready;
-assign soc_sdram_bankmachine3_req_we = soc_sdram_interface_bank3_we;
-assign soc_sdram_bankmachine3_req_addr = soc_sdram_interface_bank3_addr;
-assign soc_sdram_interface_bank3_lock = soc_sdram_bankmachine3_req_lock;
-assign soc_sdram_interface_bank3_wdata_ready = soc_sdram_bankmachine3_req_wdata_ready;
-assign soc_sdram_interface_bank3_rdata_valid = soc_sdram_bankmachine3_req_rdata_valid;
-assign soc_sdram_bankmachine4_req_valid = soc_sdram_interface_bank4_valid;
-assign soc_sdram_interface_bank4_ready = soc_sdram_bankmachine4_req_ready;
-assign soc_sdram_bankmachine4_req_we = soc_sdram_interface_bank4_we;
-assign soc_sdram_bankmachine4_req_addr = soc_sdram_interface_bank4_addr;
-assign soc_sdram_interface_bank4_lock = soc_sdram_bankmachine4_req_lock;
-assign soc_sdram_interface_bank4_wdata_ready = soc_sdram_bankmachine4_req_wdata_ready;
-assign soc_sdram_interface_bank4_rdata_valid = soc_sdram_bankmachine4_req_rdata_valid;
-assign soc_sdram_bankmachine5_req_valid = soc_sdram_interface_bank5_valid;
-assign soc_sdram_interface_bank5_ready = soc_sdram_bankmachine5_req_ready;
-assign soc_sdram_bankmachine5_req_we = soc_sdram_interface_bank5_we;
-assign soc_sdram_bankmachine5_req_addr = soc_sdram_interface_bank5_addr;
-assign soc_sdram_interface_bank5_lock = soc_sdram_bankmachine5_req_lock;
-assign soc_sdram_interface_bank5_wdata_ready = soc_sdram_bankmachine5_req_wdata_ready;
-assign soc_sdram_interface_bank5_rdata_valid = soc_sdram_bankmachine5_req_rdata_valid;
-assign soc_sdram_bankmachine6_req_valid = soc_sdram_interface_bank6_valid;
-assign soc_sdram_interface_bank6_ready = soc_sdram_bankmachine6_req_ready;
-assign soc_sdram_bankmachine6_req_we = soc_sdram_interface_bank6_we;
-assign soc_sdram_bankmachine6_req_addr = soc_sdram_interface_bank6_addr;
-assign soc_sdram_interface_bank6_lock = soc_sdram_bankmachine6_req_lock;
-assign soc_sdram_interface_bank6_wdata_ready = soc_sdram_bankmachine6_req_wdata_ready;
-assign soc_sdram_interface_bank6_rdata_valid = soc_sdram_bankmachine6_req_rdata_valid;
-assign soc_sdram_bankmachine7_req_valid = soc_sdram_interface_bank7_valid;
-assign soc_sdram_interface_bank7_ready = soc_sdram_bankmachine7_req_ready;
-assign soc_sdram_bankmachine7_req_we = soc_sdram_interface_bank7_we;
-assign soc_sdram_bankmachine7_req_addr = soc_sdram_interface_bank7_addr;
-assign soc_sdram_interface_bank7_lock = soc_sdram_bankmachine7_req_lock;
-assign soc_sdram_interface_bank7_wdata_ready = soc_sdram_bankmachine7_req_wdata_ready;
-assign soc_sdram_interface_bank7_rdata_valid = soc_sdram_bankmachine7_req_rdata_valid;
-assign soc_sdram_timer_wait = (~soc_sdram_timer_done0);
-assign soc_sdram_postponer_req_i = soc_sdram_timer_done0;
-assign soc_sdram_wants_refresh = soc_sdram_postponer_req_o;
-assign soc_sdram_wants_zqcs = soc_sdram_zqcs_timer_done0;
-assign soc_sdram_zqcs_timer_wait = (~soc_sdram_zqcs_executer_done);
-assign soc_sdram_timer_done1 = (soc_sdram_timer_count1 == 1'd0);
-assign soc_sdram_timer_done0 = soc_sdram_timer_done1;
-assign soc_sdram_timer_count0 = soc_sdram_timer_count1;
-assign soc_sdram_sequencer_start1 = (soc_sdram_sequencer_start0 | (soc_sdram_sequencer_count != 1'd0));
-assign soc_sdram_sequencer_done0 = (soc_sdram_sequencer_done1 & (soc_sdram_sequencer_count == 1'd0));
-assign soc_sdram_zqcs_timer_done1 = (soc_sdram_zqcs_timer_count1 == 1'd0);
-assign soc_sdram_zqcs_timer_done0 = soc_sdram_zqcs_timer_done1;
-assign soc_sdram_zqcs_timer_count0 = soc_sdram_zqcs_timer_count1;
-
-// synthesis translate_off
-reg dummy_d_123;
-// synthesis translate_on
-always @(*) begin
- vns_refresher_next_state <= 2'd0;
- vns_refresher_next_state <= vns_refresher_state;
- case (vns_refresher_state)
+ litedramcore_bankmachine0_req_rdata_valid <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
- if (soc_sdram_cmd_ready) begin
- vns_refresher_next_state <= 2'd2;
- end
end
2'd2: begin
- if (soc_sdram_sequencer_done0) begin
- if (soc_sdram_wants_zqcs) begin
- vns_refresher_next_state <= 2'd3;
- end else begin
- vns_refresher_next_state <= 1'd0;
- end
- end
end
2'd3: begin
- if (soc_sdram_zqcs_executer_done) begin
- vns_refresher_next_state <= 1'd0;
- end
+ end
+ 3'd4: begin
+ end
+ 3'd5: begin
+ end
+ 3'd6: begin
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
end
default: begin
- if (1'd1) begin
- if (soc_sdram_wants_refresh) begin
- vns_refresher_next_state <= 1'd1;
+ if (litedramcore_bankmachine0_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine0_req_rdata_valid <= litedramcore_bankmachine0_cmd_ready;
+ end
+ end else begin
+ end
+ end else begin
+ end
end
end
end
endcase
// synthesis translate_off
- dummy_d_123 = dummy_s;
+ dummy_d_119 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_124;
+reg dummy_d_120;
// synthesis translate_on
always @(*) begin
- soc_sdram_sequencer_start0 <= 1'd0;
- case (vns_refresher_state)
+ litedramcore_bankmachine0_refresh_gnt <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
- if (soc_sdram_cmd_ready) begin
- soc_sdram_sequencer_start0 <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
end
+ 3'd4: begin
+ if (litedramcore_bankmachine0_twtpcon_ready) begin
+ litedramcore_bankmachine0_refresh_gnt <= 1'd1;
+ end
+ end
+ 3'd5: begin
+ end
+ 3'd6: begin
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
default: begin
end
endcase
// synthesis translate_off
- dummy_d_124 = dummy_s;
+ dummy_d_120 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_125;
+reg dummy_d_121;
// synthesis translate_on
always @(*) begin
- soc_sdram_cmd_valid <= 1'd0;
- case (vns_refresher_state)
+ litedramcore_bankmachine0_cmd_valid <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
- soc_sdram_cmd_valid <= 1'd1;
+ if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+ litedramcore_bankmachine0_cmd_valid <= 1'd1;
+ end
end
2'd2: begin
- soc_sdram_cmd_valid <= 1'd1;
- if (soc_sdram_sequencer_done0) begin
- if (soc_sdram_wants_zqcs) begin
- end else begin
- soc_sdram_cmd_valid <= 1'd0;
- end
- end
end
2'd3: begin
- soc_sdram_cmd_valid <= 1'd1;
- if (soc_sdram_zqcs_executer_done) begin
- soc_sdram_cmd_valid <= 1'd0;
+ if (litedramcore_bankmachine0_trccon_ready) begin
+ litedramcore_bankmachine0_cmd_valid <= 1'd1;
end
end
+ 3'd4: begin
+ end
+ 3'd5: begin
+ end
+ 3'd6: begin
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
default: begin
+ if (litedramcore_bankmachine0_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ litedramcore_bankmachine0_cmd_valid <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_125 = dummy_s;
+ dummy_d_121 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_126;
+reg dummy_d_122;
// synthesis translate_on
always @(*) begin
- soc_sdram_zqcs_executer_start <= 1'd0;
- case (vns_refresher_state)
+ litedramcore_bankmachine0_row_open <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
- if (soc_sdram_sequencer_done0) begin
- if (soc_sdram_wants_zqcs) begin
- soc_sdram_zqcs_executer_start <= 1'd1;
- end else begin
- end
- end
end
2'd3: begin
+ if (litedramcore_bankmachine0_trccon_ready) begin
+ litedramcore_bankmachine0_row_open <= 1'd1;
+ end
+ end
+ 3'd4: begin
+ end
+ 3'd5: begin
+ end
+ 3'd6: begin
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
- dummy_d_126 = dummy_s;
+ dummy_d_122 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_127;
+reg dummy_d_123;
// synthesis translate_on
always @(*) begin
- soc_sdram_cmd_last <= 1'd0;
- case (vns_refresher_state)
+ litedramcore_bankmachine0_row_close <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
+ litedramcore_bankmachine0_row_close <= 1'd1;
end
2'd2: begin
- if (soc_sdram_sequencer_done0) begin
- if (soc_sdram_wants_zqcs) begin
- end else begin
- soc_sdram_cmd_last <= 1'd1;
- end
- end
+ litedramcore_bankmachine0_row_close <= 1'd1;
end
2'd3: begin
- if (soc_sdram_zqcs_executer_done) begin
- soc_sdram_cmd_last <= 1'd1;
- end
+ end
+ 3'd4: begin
+ litedramcore_bankmachine0_row_close <= 1'd1;
+ end
+ 3'd5: begin
+ end
+ 3'd6: begin
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
end
default: begin
end
endcase
// synthesis translate_off
- dummy_d_127 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine0_req_valid;
-assign soc_sdram_bankmachine0_req_ready = soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine0_req_we;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine0_req_addr;
-assign soc_sdram_bankmachine0_cmd_buffer_sink_valid = soc_sdram_bankmachine0_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine0_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine0_cmd_buffer_sink_first = soc_sdram_bankmachine0_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine0_cmd_buffer_sink_last = soc_sdram_bankmachine0_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine0_cmd_buffer_sink_payload_we = soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine0_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine0_cmd_buffer_source_ready = (soc_sdram_bankmachine0_req_wdata_ready | soc_sdram_bankmachine0_req_rdata_valid);
-assign soc_sdram_bankmachine0_req_lock = (soc_sdram_bankmachine0_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine0_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine0_row_hit = (soc_sdram_bankmachine0_row == soc_sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7]);
-assign soc_sdram_bankmachine0_cmd_payload_ba = 1'd0;
-
-// synthesis translate_off
-reg dummy_d_128;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_a <= 14'd0;
- if (soc_sdram_bankmachine0_row_col_n_addr_sel) begin
- soc_sdram_bankmachine0_cmd_payload_a <= soc_sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7];
- end else begin
- soc_sdram_bankmachine0_cmd_payload_a <= ((soc_sdram_bankmachine0_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
- end
-// synthesis translate_off
- dummy_d_128 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine0_twtpcon_valid = ((soc_sdram_bankmachine0_cmd_valid & soc_sdram_bankmachine0_cmd_ready) & soc_sdram_bankmachine0_cmd_payload_is_write);
-assign soc_sdram_bankmachine0_trccon_valid = ((soc_sdram_bankmachine0_cmd_valid & soc_sdram_bankmachine0_cmd_ready) & soc_sdram_bankmachine0_row_open);
-assign soc_sdram_bankmachine0_trascon_valid = ((soc_sdram_bankmachine0_cmd_valid & soc_sdram_bankmachine0_cmd_ready) & soc_sdram_bankmachine0_row_open);
-
-// synthesis translate_off
-reg dummy_d_129;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine0_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine0_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine0_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr[20:7] != soc_sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7])) begin
- soc_sdram_bankmachine0_auto_precharge <= (soc_sdram_bankmachine0_row_close == 1'd0);
- end
- end
-// synthesis translate_off
- dummy_d_129 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
-assign {soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
-assign {soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
-assign {soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = soc_sdram_bankmachine0_cmd_buffer_lookahead_source_ready;
-
-// synthesis translate_off
-reg dummy_d_130;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine0_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine0_cmd_buffer_lookahead_produce - 1'd1);
- end else begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine0_cmd_buffer_lookahead_produce;
- end
-// synthesis translate_off
- dummy_d_130 = dummy_s;
+ dummy_d_123 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | soc_sdram_bankmachine0_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re);
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine0_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = soc_sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (soc_sdram_bankmachine0_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (soc_sdram_bankmachine0_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine0_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine0_cmd_buffer_source_valid) | soc_sdram_bankmachine0_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_131;
+reg dummy_d_124;
// synthesis translate_on
always @(*) begin
- vns_bankmachine0_next_state <= 4'd0;
- vns_bankmachine0_next_state <= vns_bankmachine0_state;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_cmd_payload_cas <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
- if ((soc_sdram_bankmachine0_twtpcon_ready & soc_sdram_bankmachine0_trascon_ready)) begin
- if (soc_sdram_bankmachine0_cmd_ready) begin
- vns_bankmachine0_next_state <= 3'd5;
- end
- end
end
2'd2: begin
- if ((soc_sdram_bankmachine0_twtpcon_ready & soc_sdram_bankmachine0_trascon_ready)) begin
- vns_bankmachine0_next_state <= 3'd5;
- end
end
2'd3: begin
- if (soc_sdram_bankmachine0_trccon_ready) begin
- if (soc_sdram_bankmachine0_cmd_ready) begin
- vns_bankmachine0_next_state <= 3'd7;
- end
- end
end
3'd4: begin
- if ((~soc_sdram_bankmachine0_refresh_req)) begin
- vns_bankmachine0_next_state <= 1'd0;
- end
end
3'd5: begin
- vns_bankmachine0_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine0_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine0_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine0_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
- vns_bankmachine0_next_state <= 3'd4;
+ if (litedramcore_bankmachine0_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- if ((soc_sdram_bankmachine0_cmd_ready & soc_sdram_bankmachine0_auto_precharge)) begin
- vns_bankmachine0_next_state <= 2'd2;
- end
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ litedramcore_bankmachine0_cmd_payload_cas <= 1'd1;
end else begin
- vns_bankmachine0_next_state <= 1'd1;
end
end else begin
- vns_bankmachine0_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_131 = dummy_s;
+ dummy_d_124 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_132;
+reg dummy_d_125;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_we <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_cmd_payload_ras <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
- if ((soc_sdram_bankmachine0_twtpcon_ready & soc_sdram_bankmachine0_trascon_ready)) begin
- soc_sdram_bankmachine0_cmd_payload_we <= 1'd1;
+ if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+ litedramcore_bankmachine0_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine0_trccon_ready) begin
+ litedramcore_bankmachine0_cmd_payload_ras <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine0_cmd_payload_we <= 1'd1;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_132 = dummy_s;
+ dummy_d_125 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_133;
+reg dummy_d_126;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_cmd_payload_we <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
+ if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+ litedramcore_bankmachine0_cmd_payload_we <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine0_trccon_ready) begin
- soc_sdram_bankmachine0_row_col_n_addr_sel <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine0_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine0_cmd_payload_we <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_133 = dummy_s;
+ dummy_d_126 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_134;
+reg dummy_d_127;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
- if ((soc_sdram_bankmachine0_twtpcon_ready & soc_sdram_bankmachine0_trascon_ready)) begin
- soc_sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine0_trccon_ready) begin
- soc_sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
+ if (litedramcore_bankmachine0_trccon_ready) begin
+ litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1;
end
end
3'd4: begin
- soc_sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_134 = dummy_s;
+ dummy_d_127 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_135;
+reg dummy_d_128;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
+ if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+ litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine0_trccon_ready) begin
+ litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1;
+ end
end
3'd4: begin
+ litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine0_cmd_payload_is_read <= 1'd1;
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_135 = dummy_s;
+ dummy_d_128 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_136;
+reg dummy_d_129;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
+ if (litedramcore_bankmachine0_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine0_cmd_payload_is_write <= 1'd1;
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
end else begin
+ litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_136 = dummy_s;
+ dummy_d_129 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_137;
+reg dummy_d_130;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_req_wdata_ready <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
+ if (litedramcore_bankmachine0_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine0_req_wdata_ready <= soc_sdram_bankmachine0_cmd_ready;
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_137 = dummy_s;
+ dummy_d_130 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_138;
+reg dummy_d_131;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_req_rdata_valid <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_req_wdata_ready <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
+ if (litedramcore_bankmachine0_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine0_req_wdata_ready <= litedramcore_bankmachine0_cmd_ready;
end else begin
- soc_sdram_bankmachine0_req_rdata_valid <= soc_sdram_bankmachine0_cmd_ready;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_138 = dummy_s;
+ dummy_d_131 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine1_req_valid;
+assign litedramcore_bankmachine1_req_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine1_req_we;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine1_req_addr;
+assign litedramcore_bankmachine1_cmd_buffer_sink_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine1_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine1_cmd_buffer_sink_first = litedramcore_bankmachine1_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine1_cmd_buffer_sink_last = litedramcore_bankmachine1_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine1_cmd_buffer_sink_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine1_cmd_buffer_sink_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine1_cmd_buffer_source_ready = (litedramcore_bankmachine1_req_wdata_ready | litedramcore_bankmachine1_req_rdata_valid);
+assign litedramcore_bankmachine1_req_lock = (litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine1_cmd_buffer_source_valid);
+assign litedramcore_bankmachine1_row_hit = (litedramcore_bankmachine1_row == litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7]);
+assign litedramcore_bankmachine1_cmd_payload_ba = 1'd1;
// synthesis translate_off
-reg dummy_d_139;
+reg dummy_d_132;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_refresh_gnt <= 1'd0;
- case (vns_bankmachine0_state)
- 1'd1: begin
- end
- 2'd2: begin
+ litedramcore_bankmachine1_cmd_payload_a <= 14'd0;
+ if (litedramcore_bankmachine1_row_col_n_addr_sel) begin
+ litedramcore_bankmachine1_cmd_payload_a <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7];
+ end else begin
+ litedramcore_bankmachine1_cmd_payload_a <= ((litedramcore_bankmachine1_auto_precharge <<< 4'd10) | {litedramcore_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ end
+// synthesis translate_off
+ dummy_d_132 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine1_twtpcon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_cmd_payload_is_write);
+assign litedramcore_bankmachine1_trccon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open);
+assign litedramcore_bankmachine1_trascon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open);
+
+// synthesis translate_off
+reg dummy_d_133;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine1_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine1_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7])) begin
+ litedramcore_bankmachine1_auto_precharge <= (litedramcore_bankmachine1_row_close == 1'd0);
+ end
+ end
+// synthesis translate_off
+ dummy_d_133 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
+assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
+assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
+assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_first = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_last = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready;
+
+// synthesis translate_off
+reg dummy_d_134;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine1_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce - 1'd1);
+ end else begin
+ litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine1_cmd_buffer_lookahead_produce;
+ end
+// synthesis translate_off
+ dummy_d_134 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | litedramcore_bankmachine1_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re);
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine1_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready);
+
+// synthesis translate_off
+reg dummy_d_135;
+// synthesis translate_on
+always @(*) begin
+ bankmachine1_next_state <= 4'd0;
+ bankmachine1_next_state <= bankmachine1_state;
+ case (bankmachine1_state)
+ 1'd1: begin
+ if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+ if (litedramcore_bankmachine1_cmd_ready) begin
+ bankmachine1_next_state <= 3'd5;
+ end
+ end
+ end
+ 2'd2: begin
+ if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+ bankmachine1_next_state <= 3'd5;
+ end
end
2'd3: begin
+ if (litedramcore_bankmachine1_trccon_ready) begin
+ if (litedramcore_bankmachine1_cmd_ready) begin
+ bankmachine1_next_state <= 3'd7;
+ end
+ end
end
3'd4: begin
- if (soc_sdram_bankmachine0_twtpcon_ready) begin
- soc_sdram_bankmachine0_refresh_gnt <= 1'd1;
+ if ((~litedramcore_bankmachine1_refresh_req)) begin
+ bankmachine1_next_state <= 1'd0;
end
end
3'd5: begin
+ bankmachine1_next_state <= 3'd6;
end
3'd6: begin
+ bankmachine1_next_state <= 2'd3;
end
3'd7: begin
+ bankmachine1_next_state <= 4'd8;
end
4'd8: begin
+ bankmachine1_next_state <= 1'd0;
end
default: begin
+ if (litedramcore_bankmachine1_refresh_req) begin
+ bankmachine1_next_state <= 3'd4;
+ end else begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ if ((litedramcore_bankmachine1_cmd_ready & litedramcore_bankmachine1_auto_precharge)) begin
+ bankmachine1_next_state <= 2'd2;
+ end
+ end else begin
+ bankmachine1_next_state <= 1'd1;
+ end
+ end else begin
+ bankmachine1_next_state <= 2'd3;
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_139 = dummy_s;
+ dummy_d_135 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_140;
+reg dummy_d_136;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_valid <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine1_req_rdata_valid <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
- if ((soc_sdram_bankmachine0_twtpcon_ready & soc_sdram_bankmachine0_trascon_ready)) begin
- soc_sdram_bankmachine0_cmd_valid <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine0_trccon_ready) begin
- soc_sdram_bankmachine0_cmd_valid <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
+ if (litedramcore_bankmachine1_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- soc_sdram_bankmachine0_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine1_req_rdata_valid <= litedramcore_bankmachine1_cmd_ready;
+ end
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_140 = dummy_s;
+ dummy_d_136 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_141;
+reg dummy_d_137;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_row_open <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine0_trccon_ready) begin
- soc_sdram_bankmachine0_row_open <= 1'd1;
+ if (litedramcore_bankmachine1_trccon_ready) begin
+ litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_141 = dummy_s;
+ dummy_d_137 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_142;
+reg dummy_d_138;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_row_close <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine1_refresh_gnt <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
- soc_sdram_bankmachine0_row_close <= 1'd1;
end
2'd2: begin
- soc_sdram_bankmachine0_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- soc_sdram_bankmachine0_row_close <= 1'd1;
+ if (litedramcore_bankmachine1_twtpcon_ready) begin
+ litedramcore_bankmachine1_refresh_gnt <= 1'd1;
+ end
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_142 = dummy_s;
+ dummy_d_138 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_143;
+reg dummy_d_139;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine1_cmd_valid <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
+ if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+ litedramcore_bankmachine1_cmd_valid <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine1_trccon_ready) begin
+ litedramcore_bankmachine1_cmd_valid <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
+ if (litedramcore_bankmachine1_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- soc_sdram_bankmachine0_cmd_payload_cas <= 1'd1;
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ litedramcore_bankmachine1_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_143 = dummy_s;
+ dummy_d_139 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_144;
+reg dummy_d_140;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine1_row_open <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
- if ((soc_sdram_bankmachine0_twtpcon_ready & soc_sdram_bankmachine0_trascon_ready)) begin
- soc_sdram_bankmachine0_cmd_payload_ras <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine0_trccon_ready) begin
- soc_sdram_bankmachine0_cmd_payload_ras <= 1'd1;
+ if (litedramcore_bankmachine1_trccon_ready) begin
+ litedramcore_bankmachine1_row_open <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_144 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine1_req_valid;
-assign soc_sdram_bankmachine1_req_ready = soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine1_req_we;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine1_req_addr;
-assign soc_sdram_bankmachine1_cmd_buffer_sink_valid = soc_sdram_bankmachine1_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine1_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine1_cmd_buffer_sink_first = soc_sdram_bankmachine1_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine1_cmd_buffer_sink_last = soc_sdram_bankmachine1_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine1_cmd_buffer_sink_payload_we = soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine1_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine1_cmd_buffer_source_ready = (soc_sdram_bankmachine1_req_wdata_ready | soc_sdram_bankmachine1_req_rdata_valid);
-assign soc_sdram_bankmachine1_req_lock = (soc_sdram_bankmachine1_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine1_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine1_row_hit = (soc_sdram_bankmachine1_row == soc_sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7]);
-assign soc_sdram_bankmachine1_cmd_payload_ba = 1'd1;
-
-// synthesis translate_off
-reg dummy_d_145;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_a <= 14'd0;
- if (soc_sdram_bankmachine1_row_col_n_addr_sel) begin
- soc_sdram_bankmachine1_cmd_payload_a <= soc_sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7];
- end else begin
- soc_sdram_bankmachine1_cmd_payload_a <= ((soc_sdram_bankmachine1_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
- end
-// synthesis translate_off
- dummy_d_145 = dummy_s;
+ dummy_d_140 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine1_twtpcon_valid = ((soc_sdram_bankmachine1_cmd_valid & soc_sdram_bankmachine1_cmd_ready) & soc_sdram_bankmachine1_cmd_payload_is_write);
-assign soc_sdram_bankmachine1_trccon_valid = ((soc_sdram_bankmachine1_cmd_valid & soc_sdram_bankmachine1_cmd_ready) & soc_sdram_bankmachine1_row_open);
-assign soc_sdram_bankmachine1_trascon_valid = ((soc_sdram_bankmachine1_cmd_valid & soc_sdram_bankmachine1_cmd_ready) & soc_sdram_bankmachine1_row_open);
// synthesis translate_off
-reg dummy_d_146;
+reg dummy_d_141;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine1_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine1_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr[20:7] != soc_sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7])) begin
- soc_sdram_bankmachine1_auto_precharge <= (soc_sdram_bankmachine1_row_close == 1'd0);
+ litedramcore_bankmachine1_row_close <= 1'd0;
+ case (bankmachine1_state)
+ 1'd1: begin
+ litedramcore_bankmachine1_row_close <= 1'd1;
end
- end
+ 2'd2: begin
+ litedramcore_bankmachine1_row_close <= 1'd1;
+ end
+ 2'd3: begin
+ end
+ 3'd4: begin
+ litedramcore_bankmachine1_row_close <= 1'd1;
+ end
+ 3'd5: begin
+ end
+ 3'd6: begin
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ default: begin
+ end
+ endcase
// synthesis translate_off
- dummy_d_146 = dummy_s;
+ dummy_d_141 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
-assign {soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
-assign {soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
-assign {soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = soc_sdram_bankmachine1_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
-reg dummy_d_147;
+reg dummy_d_142;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine1_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine1_cmd_buffer_lookahead_produce - 1'd1);
- end else begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine1_cmd_buffer_lookahead_produce;
- end
+ litedramcore_bankmachine1_cmd_payload_cas <= 1'd0;
+ case (bankmachine1_state)
+ 1'd1: begin
+ end
+ 2'd2: begin
+ end
+ 2'd3: begin
+ end
+ 3'd4: begin
+ end
+ 3'd5: begin
+ end
+ 3'd6: begin
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ default: begin
+ if (litedramcore_bankmachine1_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ litedramcore_bankmachine1_cmd_payload_cas <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
+ end
+ endcase
// synthesis translate_off
- dummy_d_147 = dummy_s;
+ dummy_d_142 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | soc_sdram_bankmachine1_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re);
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine1_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = soc_sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (soc_sdram_bankmachine1_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (soc_sdram_bankmachine1_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine1_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine1_cmd_buffer_source_valid) | soc_sdram_bankmachine1_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_148;
+reg dummy_d_143;
// synthesis translate_on
always @(*) begin
- vns_bankmachine1_next_state <= 4'd0;
- vns_bankmachine1_next_state <= vns_bankmachine1_state;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_cmd_payload_ras <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
- if ((soc_sdram_bankmachine1_twtpcon_ready & soc_sdram_bankmachine1_trascon_ready)) begin
- if (soc_sdram_bankmachine1_cmd_ready) begin
- vns_bankmachine1_next_state <= 3'd5;
- end
+ if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+ litedramcore_bankmachine1_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
- if ((soc_sdram_bankmachine1_twtpcon_ready & soc_sdram_bankmachine1_trascon_ready)) begin
- vns_bankmachine1_next_state <= 3'd5;
- end
end
2'd3: begin
- if (soc_sdram_bankmachine1_trccon_ready) begin
- if (soc_sdram_bankmachine1_cmd_ready) begin
- vns_bankmachine1_next_state <= 3'd7;
- end
+ if (litedramcore_bankmachine1_trccon_ready) begin
+ litedramcore_bankmachine1_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
- if ((~soc_sdram_bankmachine1_refresh_req)) begin
- vns_bankmachine1_next_state <= 1'd0;
- end
end
3'd5: begin
- vns_bankmachine1_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine1_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine1_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine1_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
- vns_bankmachine1_next_state <= 3'd4;
- end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- if ((soc_sdram_bankmachine1_cmd_ready & soc_sdram_bankmachine1_auto_precharge)) begin
- vns_bankmachine1_next_state <= 2'd2;
- end
- end else begin
- vns_bankmachine1_next_state <= 1'd1;
- end
- end else begin
- vns_bankmachine1_next_state <= 2'd3;
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_148 = dummy_s;
+ dummy_d_143 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_149;
+reg dummy_d_144;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_we <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_cmd_payload_we <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
- if ((soc_sdram_bankmachine1_twtpcon_ready & soc_sdram_bankmachine1_trascon_ready)) begin
- soc_sdram_bankmachine1_cmd_payload_we <= 1'd1;
+ if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+ litedramcore_bankmachine1_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
+ if (litedramcore_bankmachine1_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine1_cmd_payload_we <= 1'd1;
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine1_cmd_payload_we <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_149 = dummy_s;
+ dummy_d_144 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_150;
+reg dummy_d_145;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
+ if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+ litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine1_trccon_ready) begin
- soc_sdram_bankmachine1_row_col_n_addr_sel <= 1'd1;
+ if (litedramcore_bankmachine1_trccon_ready) begin
+ litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1;
end
end
3'd4: begin
+ litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_150 = dummy_s;
+ dummy_d_145 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_151;
+reg dummy_d_146;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
- if ((soc_sdram_bankmachine1_twtpcon_ready & soc_sdram_bankmachine1_trascon_ready)) begin
- soc_sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine1_trccon_ready) begin
- soc_sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
- end
end
3'd4: begin
- soc_sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine1_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_151 = dummy_s;
+ dummy_d_146 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_152;
+reg dummy_d_147;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
+ if (litedramcore_bankmachine1_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1;
end else begin
- soc_sdram_bankmachine1_cmd_payload_is_read <= 1'd1;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_152 = dummy_s;
+ dummy_d_147 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_153;
+reg dummy_d_148;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_req_wdata_ready <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
+ if (litedramcore_bankmachine1_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine1_cmd_payload_is_write <= 1'd1;
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine1_req_wdata_ready <= litedramcore_bankmachine1_cmd_ready;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_153 = dummy_s;
+ dummy_d_148 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine2_req_valid;
+assign litedramcore_bankmachine2_req_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine2_req_we;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine2_req_addr;
+assign litedramcore_bankmachine2_cmd_buffer_sink_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine2_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine2_cmd_buffer_sink_first = litedramcore_bankmachine2_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine2_cmd_buffer_sink_last = litedramcore_bankmachine2_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine2_cmd_buffer_sink_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine2_cmd_buffer_sink_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine2_cmd_buffer_source_ready = (litedramcore_bankmachine2_req_wdata_ready | litedramcore_bankmachine2_req_rdata_valid);
+assign litedramcore_bankmachine2_req_lock = (litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine2_cmd_buffer_source_valid);
+assign litedramcore_bankmachine2_row_hit = (litedramcore_bankmachine2_row == litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7]);
+assign litedramcore_bankmachine2_cmd_payload_ba = 2'd2;
// synthesis translate_off
-reg dummy_d_154;
+reg dummy_d_149;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine2_cmd_payload_a <= 14'd0;
+ if (litedramcore_bankmachine2_row_col_n_addr_sel) begin
+ litedramcore_bankmachine2_cmd_payload_a <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7];
+ end else begin
+ litedramcore_bankmachine2_cmd_payload_a <= ((litedramcore_bankmachine2_auto_precharge <<< 4'd10) | {litedramcore_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ end
+// synthesis translate_off
+ dummy_d_149 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine2_twtpcon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_cmd_payload_is_write);
+assign litedramcore_bankmachine2_trccon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open);
+assign litedramcore_bankmachine2_trascon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open);
+
+// synthesis translate_off
+reg dummy_d_150;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine2_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine2_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7])) begin
+ litedramcore_bankmachine2_auto_precharge <= (litedramcore_bankmachine2_row_close == 1'd0);
+ end
+ end
+// synthesis translate_off
+ dummy_d_150 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
+assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
+assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
+assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_first = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_last = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready;
+
+// synthesis translate_off
+reg dummy_d_151;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine2_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce - 1'd1);
+ end else begin
+ litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine2_cmd_buffer_lookahead_produce;
+ end
+// synthesis translate_off
+ dummy_d_151 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | litedramcore_bankmachine2_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re);
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine2_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready);
+
+// synthesis translate_off
+reg dummy_d_152;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_req_wdata_ready <= 1'd0;
- case (vns_bankmachine1_state)
+ bankmachine2_next_state <= 4'd0;
+ bankmachine2_next_state <= bankmachine2_state;
+ case (bankmachine2_state)
1'd1: begin
+ if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+ if (litedramcore_bankmachine2_cmd_ready) begin
+ bankmachine2_next_state <= 3'd5;
+ end
+ end
end
2'd2: begin
+ if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+ bankmachine2_next_state <= 3'd5;
+ end
end
2'd3: begin
+ if (litedramcore_bankmachine2_trccon_ready) begin
+ if (litedramcore_bankmachine2_cmd_ready) begin
+ bankmachine2_next_state <= 3'd7;
+ end
+ end
end
3'd4: begin
+ if ((~litedramcore_bankmachine2_refresh_req)) begin
+ bankmachine2_next_state <= 1'd0;
+ end
end
3'd5: begin
+ bankmachine2_next_state <= 3'd6;
end
3'd6: begin
+ bankmachine2_next_state <= 2'd3;
end
3'd7: begin
+ bankmachine2_next_state <= 4'd8;
end
4'd8: begin
+ bankmachine2_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
+ if (litedramcore_bankmachine2_refresh_req) begin
+ bankmachine2_next_state <= 3'd4;
end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine1_req_wdata_ready <= soc_sdram_bankmachine1_cmd_ready;
- end else begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ if ((litedramcore_bankmachine2_cmd_ready & litedramcore_bankmachine2_auto_precharge)) begin
+ bankmachine2_next_state <= 2'd2;
end
end else begin
+ bankmachine2_next_state <= 1'd1;
end
end else begin
+ bankmachine2_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_154 = dummy_s;
+ dummy_d_152 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_155;
+reg dummy_d_153;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_req_rdata_valid <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine2_req_rdata_valid <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
+ if (litedramcore_bankmachine2_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
end else begin
- soc_sdram_bankmachine1_req_rdata_valid <= soc_sdram_bankmachine1_cmd_ready;
+ litedramcore_bankmachine2_req_rdata_valid <= litedramcore_bankmachine2_cmd_ready;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_155 = dummy_s;
+ dummy_d_153 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_156;
+reg dummy_d_154;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_refresh_gnt <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine2_refresh_gnt <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
2'd3: begin
end
3'd4: begin
- if (soc_sdram_bankmachine1_twtpcon_ready) begin
- soc_sdram_bankmachine1_refresh_gnt <= 1'd1;
+ if (litedramcore_bankmachine2_twtpcon_ready) begin
+ litedramcore_bankmachine2_refresh_gnt <= 1'd1;
end
end
3'd5: begin
end
endcase
// synthesis translate_off
- dummy_d_156 = dummy_s;
+ dummy_d_154 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_157;
+reg dummy_d_155;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_valid <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine2_cmd_valid <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
- if ((soc_sdram_bankmachine1_twtpcon_ready & soc_sdram_bankmachine1_trascon_ready)) begin
- soc_sdram_bankmachine1_cmd_valid <= 1'd1;
+ if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+ litedramcore_bankmachine2_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine1_trccon_ready) begin
- soc_sdram_bankmachine1_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine2_trccon_ready) begin
+ litedramcore_bankmachine2_cmd_valid <= 1'd1;
end
end
3'd4: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
+ if (litedramcore_bankmachine2_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- soc_sdram_bankmachine1_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ litedramcore_bankmachine2_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_157 = dummy_s;
+ dummy_d_155 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_158;
+reg dummy_d_156;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_row_open <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine1_trccon_ready) begin
- soc_sdram_bankmachine1_row_open <= 1'd1;
+ if (litedramcore_bankmachine2_trccon_ready) begin
+ litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_158 = dummy_s;
+ dummy_d_156 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_159;
+reg dummy_d_157;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_row_close <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine2_row_open <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
- soc_sdram_bankmachine1_row_close <= 1'd1;
end
2'd2: begin
- soc_sdram_bankmachine1_row_close <= 1'd1;
end
2'd3: begin
+ if (litedramcore_bankmachine2_trccon_ready) begin
+ litedramcore_bankmachine2_row_open <= 1'd1;
+ end
end
3'd4: begin
- soc_sdram_bankmachine1_row_close <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_159 = dummy_s;
+ dummy_d_157 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_160;
+reg dummy_d_158;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine2_row_close <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
+ litedramcore_bankmachine2_row_close <= 1'd1;
end
2'd2: begin
+ litedramcore_bankmachine2_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
+ litedramcore_bankmachine2_row_close <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- soc_sdram_bankmachine1_cmd_payload_cas <= 1'd1;
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_160 = dummy_s;
+ dummy_d_158 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_161;
+reg dummy_d_159;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine2_cmd_payload_cas <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
- if ((soc_sdram_bankmachine1_twtpcon_ready & soc_sdram_bankmachine1_trascon_ready)) begin
- soc_sdram_bankmachine1_cmd_payload_ras <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine1_trccon_ready) begin
- soc_sdram_bankmachine1_cmd_payload_ras <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine2_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ litedramcore_bankmachine2_cmd_payload_cas <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_161 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine2_req_valid;
-assign soc_sdram_bankmachine2_req_ready = soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine2_req_we;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine2_req_addr;
-assign soc_sdram_bankmachine2_cmd_buffer_sink_valid = soc_sdram_bankmachine2_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine2_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine2_cmd_buffer_sink_first = soc_sdram_bankmachine2_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine2_cmd_buffer_sink_last = soc_sdram_bankmachine2_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine2_cmd_buffer_sink_payload_we = soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine2_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine2_cmd_buffer_source_ready = (soc_sdram_bankmachine2_req_wdata_ready | soc_sdram_bankmachine2_req_rdata_valid);
-assign soc_sdram_bankmachine2_req_lock = (soc_sdram_bankmachine2_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine2_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine2_row_hit = (soc_sdram_bankmachine2_row == soc_sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7]);
-assign soc_sdram_bankmachine2_cmd_payload_ba = 2'd2;
-
-// synthesis translate_off
-reg dummy_d_162;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_a <= 14'd0;
- if (soc_sdram_bankmachine2_row_col_n_addr_sel) begin
- soc_sdram_bankmachine2_cmd_payload_a <= soc_sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7];
- end else begin
- soc_sdram_bankmachine2_cmd_payload_a <= ((soc_sdram_bankmachine2_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
- end
-// synthesis translate_off
- dummy_d_162 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine2_twtpcon_valid = ((soc_sdram_bankmachine2_cmd_valid & soc_sdram_bankmachine2_cmd_ready) & soc_sdram_bankmachine2_cmd_payload_is_write);
-assign soc_sdram_bankmachine2_trccon_valid = ((soc_sdram_bankmachine2_cmd_valid & soc_sdram_bankmachine2_cmd_ready) & soc_sdram_bankmachine2_row_open);
-assign soc_sdram_bankmachine2_trascon_valid = ((soc_sdram_bankmachine2_cmd_valid & soc_sdram_bankmachine2_cmd_ready) & soc_sdram_bankmachine2_row_open);
-
-// synthesis translate_off
-reg dummy_d_163;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine2_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine2_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine2_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr[20:7] != soc_sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7])) begin
- soc_sdram_bankmachine2_auto_precharge <= (soc_sdram_bankmachine2_row_close == 1'd0);
- end
- end
-// synthesis translate_off
- dummy_d_163 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
-assign {soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
-assign {soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
-assign {soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = soc_sdram_bankmachine2_cmd_buffer_lookahead_source_ready;
-
-// synthesis translate_off
-reg dummy_d_164;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine2_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine2_cmd_buffer_lookahead_produce - 1'd1);
- end else begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine2_cmd_buffer_lookahead_produce;
- end
-// synthesis translate_off
- dummy_d_164 = dummy_s;
+ dummy_d_159 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | soc_sdram_bankmachine2_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re);
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine2_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = soc_sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (soc_sdram_bankmachine2_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (soc_sdram_bankmachine2_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine2_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine2_cmd_buffer_source_valid) | soc_sdram_bankmachine2_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_165;
+reg dummy_d_160;
// synthesis translate_on
always @(*) begin
- vns_bankmachine2_next_state <= 4'd0;
- vns_bankmachine2_next_state <= vns_bankmachine2_state;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_cmd_payload_ras <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
- if ((soc_sdram_bankmachine2_twtpcon_ready & soc_sdram_bankmachine2_trascon_ready)) begin
- if (soc_sdram_bankmachine2_cmd_ready) begin
- vns_bankmachine2_next_state <= 3'd5;
- end
+ if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+ litedramcore_bankmachine2_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
- if ((soc_sdram_bankmachine2_twtpcon_ready & soc_sdram_bankmachine2_trascon_ready)) begin
- vns_bankmachine2_next_state <= 3'd5;
- end
end
2'd3: begin
- if (soc_sdram_bankmachine2_trccon_ready) begin
- if (soc_sdram_bankmachine2_cmd_ready) begin
- vns_bankmachine2_next_state <= 3'd7;
- end
+ if (litedramcore_bankmachine2_trccon_ready) begin
+ litedramcore_bankmachine2_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
- if ((~soc_sdram_bankmachine2_refresh_req)) begin
- vns_bankmachine2_next_state <= 1'd0;
- end
end
3'd5: begin
- vns_bankmachine2_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine2_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine2_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine2_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
- vns_bankmachine2_next_state <= 3'd4;
- end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- if ((soc_sdram_bankmachine2_cmd_ready & soc_sdram_bankmachine2_auto_precharge)) begin
- vns_bankmachine2_next_state <= 2'd2;
- end
- end else begin
- vns_bankmachine2_next_state <= 1'd1;
- end
- end else begin
- vns_bankmachine2_next_state <= 2'd3;
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_165 = dummy_s;
+ dummy_d_160 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_166;
+reg dummy_d_161;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_we <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_cmd_payload_we <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
- if ((soc_sdram_bankmachine2_twtpcon_ready & soc_sdram_bankmachine2_trascon_ready)) begin
- soc_sdram_bankmachine2_cmd_payload_we <= 1'd1;
+ if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+ litedramcore_bankmachine2_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
+ if (litedramcore_bankmachine2_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine2_cmd_payload_we <= 1'd1;
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine2_cmd_payload_we <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_166 = dummy_s;
+ dummy_d_161 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_167;
+reg dummy_d_162;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
+ if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+ litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine2_trccon_ready) begin
- soc_sdram_bankmachine2_row_col_n_addr_sel <= 1'd1;
+ if (litedramcore_bankmachine2_trccon_ready) begin
+ litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1;
end
end
3'd4: begin
+ litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_167 = dummy_s;
+ dummy_d_162 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_168;
+reg dummy_d_163;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
- if ((soc_sdram_bankmachine2_twtpcon_ready & soc_sdram_bankmachine2_trascon_ready)) begin
- soc_sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine2_trccon_ready) begin
- soc_sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
- end
end
3'd4: begin
- soc_sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine2_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_168 = dummy_s;
+ dummy_d_163 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_169;
+reg dummy_d_164;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
+ if (litedramcore_bankmachine2_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1;
end else begin
- soc_sdram_bankmachine2_cmd_payload_is_read <= 1'd1;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_169 = dummy_s;
+ dummy_d_164 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_170;
+reg dummy_d_165;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_req_wdata_ready <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
+ if (litedramcore_bankmachine2_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine2_cmd_payload_is_write <= 1'd1;
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine2_req_wdata_ready <= litedramcore_bankmachine2_cmd_ready;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_170 = dummy_s;
+ dummy_d_165 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine3_req_valid;
+assign litedramcore_bankmachine3_req_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine3_req_we;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine3_req_addr;
+assign litedramcore_bankmachine3_cmd_buffer_sink_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine3_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine3_cmd_buffer_sink_first = litedramcore_bankmachine3_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine3_cmd_buffer_sink_last = litedramcore_bankmachine3_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine3_cmd_buffer_sink_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine3_cmd_buffer_sink_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine3_cmd_buffer_source_ready = (litedramcore_bankmachine3_req_wdata_ready | litedramcore_bankmachine3_req_rdata_valid);
+assign litedramcore_bankmachine3_req_lock = (litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine3_cmd_buffer_source_valid);
+assign litedramcore_bankmachine3_row_hit = (litedramcore_bankmachine3_row == litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7]);
+assign litedramcore_bankmachine3_cmd_payload_ba = 2'd3;
// synthesis translate_off
-reg dummy_d_171;
+reg dummy_d_166;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine3_cmd_payload_a <= 14'd0;
+ if (litedramcore_bankmachine3_row_col_n_addr_sel) begin
+ litedramcore_bankmachine3_cmd_payload_a <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7];
+ end else begin
+ litedramcore_bankmachine3_cmd_payload_a <= ((litedramcore_bankmachine3_auto_precharge <<< 4'd10) | {litedramcore_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ end
+// synthesis translate_off
+ dummy_d_166 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine3_twtpcon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_cmd_payload_is_write);
+assign litedramcore_bankmachine3_trccon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open);
+assign litedramcore_bankmachine3_trascon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open);
+
+// synthesis translate_off
+reg dummy_d_167;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine3_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine3_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7])) begin
+ litedramcore_bankmachine3_auto_precharge <= (litedramcore_bankmachine3_row_close == 1'd0);
+ end
+ end
+// synthesis translate_off
+ dummy_d_167 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
+assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
+assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
+assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_first = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_last = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready;
+
+// synthesis translate_off
+reg dummy_d_168;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine3_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce - 1'd1);
+ end else begin
+ litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine3_cmd_buffer_lookahead_produce;
+ end
+// synthesis translate_off
+ dummy_d_168 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | litedramcore_bankmachine3_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re);
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine3_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready);
+
+// synthesis translate_off
+reg dummy_d_169;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_req_wdata_ready <= 1'd0;
- case (vns_bankmachine2_state)
+ bankmachine3_next_state <= 4'd0;
+ bankmachine3_next_state <= bankmachine3_state;
+ case (bankmachine3_state)
1'd1: begin
+ if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+ if (litedramcore_bankmachine3_cmd_ready) begin
+ bankmachine3_next_state <= 3'd5;
+ end
+ end
end
2'd2: begin
+ if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+ bankmachine3_next_state <= 3'd5;
+ end
end
2'd3: begin
+ if (litedramcore_bankmachine3_trccon_ready) begin
+ if (litedramcore_bankmachine3_cmd_ready) begin
+ bankmachine3_next_state <= 3'd7;
+ end
+ end
end
3'd4: begin
+ if ((~litedramcore_bankmachine3_refresh_req)) begin
+ bankmachine3_next_state <= 1'd0;
+ end
end
3'd5: begin
+ bankmachine3_next_state <= 3'd6;
end
3'd6: begin
+ bankmachine3_next_state <= 2'd3;
end
3'd7: begin
+ bankmachine3_next_state <= 4'd8;
end
4'd8: begin
+ bankmachine3_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
+ if (litedramcore_bankmachine3_refresh_req) begin
+ bankmachine3_next_state <= 3'd4;
end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine2_req_wdata_ready <= soc_sdram_bankmachine2_cmd_ready;
- end else begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ if ((litedramcore_bankmachine3_cmd_ready & litedramcore_bankmachine3_auto_precharge)) begin
+ bankmachine3_next_state <= 2'd2;
end
end else begin
+ bankmachine3_next_state <= 1'd1;
end
end else begin
+ bankmachine3_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_171 = dummy_s;
+ dummy_d_169 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_172;
+reg dummy_d_170;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_req_rdata_valid <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine3_req_rdata_valid <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
+ if (litedramcore_bankmachine3_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
end else begin
- soc_sdram_bankmachine2_req_rdata_valid <= soc_sdram_bankmachine2_cmd_ready;
+ litedramcore_bankmachine3_req_rdata_valid <= litedramcore_bankmachine3_cmd_ready;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_172 = dummy_s;
+ dummy_d_170 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_173;
+reg dummy_d_171;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_refresh_gnt <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine3_refresh_gnt <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
2'd3: begin
end
3'd4: begin
- if (soc_sdram_bankmachine2_twtpcon_ready) begin
- soc_sdram_bankmachine2_refresh_gnt <= 1'd1;
+ if (litedramcore_bankmachine3_twtpcon_ready) begin
+ litedramcore_bankmachine3_refresh_gnt <= 1'd1;
end
end
3'd5: begin
end
endcase
// synthesis translate_off
- dummy_d_173 = dummy_s;
+ dummy_d_171 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_174;
+reg dummy_d_172;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_valid <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine3_cmd_valid <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
- if ((soc_sdram_bankmachine2_twtpcon_ready & soc_sdram_bankmachine2_trascon_ready)) begin
- soc_sdram_bankmachine2_cmd_valid <= 1'd1;
+ if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+ litedramcore_bankmachine3_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine2_trccon_ready) begin
- soc_sdram_bankmachine2_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine3_trccon_ready) begin
+ litedramcore_bankmachine3_cmd_valid <= 1'd1;
end
end
3'd4: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
+ if (litedramcore_bankmachine3_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- soc_sdram_bankmachine2_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ litedramcore_bankmachine3_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_174 = dummy_s;
+ dummy_d_172 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_175;
+reg dummy_d_173;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_row_open <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine3_row_open <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine2_trccon_ready) begin
- soc_sdram_bankmachine2_row_open <= 1'd1;
+ if (litedramcore_bankmachine3_trccon_ready) begin
+ litedramcore_bankmachine3_row_open <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_175 = dummy_s;
+ dummy_d_173 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_176;
+reg dummy_d_174;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_row_close <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine3_row_close <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
- soc_sdram_bankmachine2_row_close <= 1'd1;
+ litedramcore_bankmachine3_row_close <= 1'd1;
end
2'd2: begin
- soc_sdram_bankmachine2_row_close <= 1'd1;
+ litedramcore_bankmachine3_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- soc_sdram_bankmachine2_row_close <= 1'd1;
+ litedramcore_bankmachine3_row_close <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_176 = dummy_s;
+ dummy_d_174 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_177;
+reg dummy_d_175;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine3_cmd_payload_cas <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
+ if (litedramcore_bankmachine3_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- soc_sdram_bankmachine2_cmd_payload_cas <= 1'd1;
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ litedramcore_bankmachine3_cmd_payload_cas <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_177 = dummy_s;
+ dummy_d_175 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_178;
+reg dummy_d_176;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
- if ((soc_sdram_bankmachine2_twtpcon_ready & soc_sdram_bankmachine2_trascon_ready)) begin
- soc_sdram_bankmachine2_cmd_payload_ras <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine2_trccon_ready) begin
- soc_sdram_bankmachine2_cmd_payload_ras <= 1'd1;
+ if (litedramcore_bankmachine3_trccon_ready) begin
+ litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_178 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine3_req_valid;
-assign soc_sdram_bankmachine3_req_ready = soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine3_req_we;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine3_req_addr;
-assign soc_sdram_bankmachine3_cmd_buffer_sink_valid = soc_sdram_bankmachine3_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine3_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine3_cmd_buffer_sink_first = soc_sdram_bankmachine3_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine3_cmd_buffer_sink_last = soc_sdram_bankmachine3_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine3_cmd_buffer_sink_payload_we = soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine3_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine3_cmd_buffer_source_ready = (soc_sdram_bankmachine3_req_wdata_ready | soc_sdram_bankmachine3_req_rdata_valid);
-assign soc_sdram_bankmachine3_req_lock = (soc_sdram_bankmachine3_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine3_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine3_row_hit = (soc_sdram_bankmachine3_row == soc_sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7]);
-assign soc_sdram_bankmachine3_cmd_payload_ba = 2'd3;
-
-// synthesis translate_off
-reg dummy_d_179;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_a <= 14'd0;
- if (soc_sdram_bankmachine3_row_col_n_addr_sel) begin
- soc_sdram_bankmachine3_cmd_payload_a <= soc_sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7];
- end else begin
- soc_sdram_bankmachine3_cmd_payload_a <= ((soc_sdram_bankmachine3_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
- end
-// synthesis translate_off
- dummy_d_179 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine3_twtpcon_valid = ((soc_sdram_bankmachine3_cmd_valid & soc_sdram_bankmachine3_cmd_ready) & soc_sdram_bankmachine3_cmd_payload_is_write);
-assign soc_sdram_bankmachine3_trccon_valid = ((soc_sdram_bankmachine3_cmd_valid & soc_sdram_bankmachine3_cmd_ready) & soc_sdram_bankmachine3_row_open);
-assign soc_sdram_bankmachine3_trascon_valid = ((soc_sdram_bankmachine3_cmd_valid & soc_sdram_bankmachine3_cmd_ready) & soc_sdram_bankmachine3_row_open);
-
-// synthesis translate_off
-reg dummy_d_180;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine3_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine3_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine3_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr[20:7] != soc_sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7])) begin
- soc_sdram_bankmachine3_auto_precharge <= (soc_sdram_bankmachine3_row_close == 1'd0);
- end
- end
-// synthesis translate_off
- dummy_d_180 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
-assign {soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
-assign {soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
-assign {soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = soc_sdram_bankmachine3_cmd_buffer_lookahead_source_ready;
-
-// synthesis translate_off
-reg dummy_d_181;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine3_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine3_cmd_buffer_lookahead_produce - 1'd1);
- end else begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine3_cmd_buffer_lookahead_produce;
- end
-// synthesis translate_off
- dummy_d_181 = dummy_s;
+ dummy_d_176 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | soc_sdram_bankmachine3_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re);
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine3_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = soc_sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (soc_sdram_bankmachine3_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (soc_sdram_bankmachine3_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine3_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine3_cmd_buffer_source_valid) | soc_sdram_bankmachine3_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_182;
+reg dummy_d_177;
// synthesis translate_on
always @(*) begin
- vns_bankmachine3_next_state <= 4'd0;
- vns_bankmachine3_next_state <= vns_bankmachine3_state;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_cmd_payload_ras <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
- if ((soc_sdram_bankmachine3_twtpcon_ready & soc_sdram_bankmachine3_trascon_ready)) begin
- if (soc_sdram_bankmachine3_cmd_ready) begin
- vns_bankmachine3_next_state <= 3'd5;
- end
+ if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+ litedramcore_bankmachine3_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
- if ((soc_sdram_bankmachine3_twtpcon_ready & soc_sdram_bankmachine3_trascon_ready)) begin
- vns_bankmachine3_next_state <= 3'd5;
- end
end
2'd3: begin
- if (soc_sdram_bankmachine3_trccon_ready) begin
- if (soc_sdram_bankmachine3_cmd_ready) begin
- vns_bankmachine3_next_state <= 3'd7;
- end
+ if (litedramcore_bankmachine3_trccon_ready) begin
+ litedramcore_bankmachine3_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
- if ((~soc_sdram_bankmachine3_refresh_req)) begin
- vns_bankmachine3_next_state <= 1'd0;
- end
end
3'd5: begin
- vns_bankmachine3_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine3_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine3_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine3_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
- vns_bankmachine3_next_state <= 3'd4;
- end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- if ((soc_sdram_bankmachine3_cmd_ready & soc_sdram_bankmachine3_auto_precharge)) begin
- vns_bankmachine3_next_state <= 2'd2;
- end
- end else begin
- vns_bankmachine3_next_state <= 1'd1;
- end
- end else begin
- vns_bankmachine3_next_state <= 2'd3;
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_182 = dummy_s;
+ dummy_d_177 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_183;
+reg dummy_d_178;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_we <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_cmd_payload_we <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
- if ((soc_sdram_bankmachine3_twtpcon_ready & soc_sdram_bankmachine3_trascon_ready)) begin
- soc_sdram_bankmachine3_cmd_payload_we <= 1'd1;
+ if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+ litedramcore_bankmachine3_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
+ if (litedramcore_bankmachine3_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine3_cmd_payload_we <= 1'd1;
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine3_cmd_payload_we <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_183 = dummy_s;
+ dummy_d_178 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_184;
+reg dummy_d_179;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
+ if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+ litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine3_trccon_ready) begin
- soc_sdram_bankmachine3_row_col_n_addr_sel <= 1'd1;
+ if (litedramcore_bankmachine3_trccon_ready) begin
+ litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1;
end
end
3'd4: begin
+ litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_184 = dummy_s;
+ dummy_d_179 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_185;
+reg dummy_d_180;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
- if ((soc_sdram_bankmachine3_twtpcon_ready & soc_sdram_bankmachine3_trascon_ready)) begin
- soc_sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine3_trccon_ready) begin
- soc_sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
- end
end
3'd4: begin
- soc_sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine3_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_185 = dummy_s;
+ dummy_d_180 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_186;
+reg dummy_d_181;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
+ if (litedramcore_bankmachine3_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1;
end else begin
- soc_sdram_bankmachine3_cmd_payload_is_read <= 1'd1;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_186 = dummy_s;
+ dummy_d_181 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_187;
+reg dummy_d_182;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_req_wdata_ready <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
+ if (litedramcore_bankmachine3_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine3_cmd_payload_is_write <= 1'd1;
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine3_req_wdata_ready <= litedramcore_bankmachine3_cmd_ready;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_187 = dummy_s;
+ dummy_d_182 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine4_req_valid;
+assign litedramcore_bankmachine4_req_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine4_req_we;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine4_req_addr;
+assign litedramcore_bankmachine4_cmd_buffer_sink_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine4_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine4_cmd_buffer_sink_first = litedramcore_bankmachine4_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine4_cmd_buffer_sink_last = litedramcore_bankmachine4_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine4_cmd_buffer_sink_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine4_cmd_buffer_sink_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine4_cmd_buffer_source_ready = (litedramcore_bankmachine4_req_wdata_ready | litedramcore_bankmachine4_req_rdata_valid);
+assign litedramcore_bankmachine4_req_lock = (litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine4_cmd_buffer_source_valid);
+assign litedramcore_bankmachine4_row_hit = (litedramcore_bankmachine4_row == litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7]);
+assign litedramcore_bankmachine4_cmd_payload_ba = 3'd4;
// synthesis translate_off
-reg dummy_d_188;
+reg dummy_d_183;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine4_cmd_payload_a <= 14'd0;
+ if (litedramcore_bankmachine4_row_col_n_addr_sel) begin
+ litedramcore_bankmachine4_cmd_payload_a <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7];
+ end else begin
+ litedramcore_bankmachine4_cmd_payload_a <= ((litedramcore_bankmachine4_auto_precharge <<< 4'd10) | {litedramcore_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ end
+// synthesis translate_off
+ dummy_d_183 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine4_twtpcon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_cmd_payload_is_write);
+assign litedramcore_bankmachine4_trccon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open);
+assign litedramcore_bankmachine4_trascon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open);
+
+// synthesis translate_off
+reg dummy_d_184;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine4_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine4_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7])) begin
+ litedramcore_bankmachine4_auto_precharge <= (litedramcore_bankmachine4_row_close == 1'd0);
+ end
+ end
+// synthesis translate_off
+ dummy_d_184 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
+assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
+assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
+assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_first = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_last = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready;
+
+// synthesis translate_off
+reg dummy_d_185;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine4_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce - 1'd1);
+ end else begin
+ litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine4_cmd_buffer_lookahead_produce;
+ end
+// synthesis translate_off
+ dummy_d_185 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | litedramcore_bankmachine4_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re);
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine4_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready);
+
+// synthesis translate_off
+reg dummy_d_186;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_req_wdata_ready <= 1'd0;
- case (vns_bankmachine3_state)
+ bankmachine4_next_state <= 4'd0;
+ bankmachine4_next_state <= bankmachine4_state;
+ case (bankmachine4_state)
1'd1: begin
+ if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+ if (litedramcore_bankmachine4_cmd_ready) begin
+ bankmachine4_next_state <= 3'd5;
+ end
+ end
end
2'd2: begin
+ if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+ bankmachine4_next_state <= 3'd5;
+ end
end
2'd3: begin
+ if (litedramcore_bankmachine4_trccon_ready) begin
+ if (litedramcore_bankmachine4_cmd_ready) begin
+ bankmachine4_next_state <= 3'd7;
+ end
+ end
end
3'd4: begin
+ if ((~litedramcore_bankmachine4_refresh_req)) begin
+ bankmachine4_next_state <= 1'd0;
+ end
end
3'd5: begin
+ bankmachine4_next_state <= 3'd6;
end
3'd6: begin
+ bankmachine4_next_state <= 2'd3;
end
3'd7: begin
+ bankmachine4_next_state <= 4'd8;
end
4'd8: begin
+ bankmachine4_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
+ if (litedramcore_bankmachine4_refresh_req) begin
+ bankmachine4_next_state <= 3'd4;
end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine3_req_wdata_ready <= soc_sdram_bankmachine3_cmd_ready;
- end else begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ if ((litedramcore_bankmachine4_cmd_ready & litedramcore_bankmachine4_auto_precharge)) begin
+ bankmachine4_next_state <= 2'd2;
end
end else begin
+ bankmachine4_next_state <= 1'd1;
end
end else begin
+ bankmachine4_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_188 = dummy_s;
+ dummy_d_186 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_189;
+reg dummy_d_187;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_req_rdata_valid <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine4_req_rdata_valid <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
+ if (litedramcore_bankmachine4_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
end else begin
- soc_sdram_bankmachine3_req_rdata_valid <= soc_sdram_bankmachine3_cmd_ready;
+ litedramcore_bankmachine4_req_rdata_valid <= litedramcore_bankmachine4_cmd_ready;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_189 = dummy_s;
+ dummy_d_187 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_190;
+reg dummy_d_188;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_refresh_gnt <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine4_refresh_gnt <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
2'd3: begin
end
3'd4: begin
- if (soc_sdram_bankmachine3_twtpcon_ready) begin
- soc_sdram_bankmachine3_refresh_gnt <= 1'd1;
+ if (litedramcore_bankmachine4_twtpcon_ready) begin
+ litedramcore_bankmachine4_refresh_gnt <= 1'd1;
end
end
3'd5: begin
end
endcase
// synthesis translate_off
- dummy_d_190 = dummy_s;
+ dummy_d_188 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_191;
+reg dummy_d_189;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_valid <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine4_cmd_valid <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
- if ((soc_sdram_bankmachine3_twtpcon_ready & soc_sdram_bankmachine3_trascon_ready)) begin
- soc_sdram_bankmachine3_cmd_valid <= 1'd1;
+ if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+ litedramcore_bankmachine4_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine3_trccon_ready) begin
- soc_sdram_bankmachine3_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine4_trccon_ready) begin
+ litedramcore_bankmachine4_cmd_valid <= 1'd1;
end
end
3'd4: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
+ if (litedramcore_bankmachine4_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- soc_sdram_bankmachine3_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ litedramcore_bankmachine4_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_191 = dummy_s;
+ dummy_d_189 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_192;
+reg dummy_d_190;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_row_open <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine4_row_open <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine3_trccon_ready) begin
- soc_sdram_bankmachine3_row_open <= 1'd1;
+ if (litedramcore_bankmachine4_trccon_ready) begin
+ litedramcore_bankmachine4_row_open <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_192 = dummy_s;
+ dummy_d_190 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_193;
+reg dummy_d_191;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_row_close <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine4_row_close <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
- soc_sdram_bankmachine3_row_close <= 1'd1;
+ litedramcore_bankmachine4_row_close <= 1'd1;
end
2'd2: begin
- soc_sdram_bankmachine3_row_close <= 1'd1;
+ litedramcore_bankmachine4_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- soc_sdram_bankmachine3_row_close <= 1'd1;
+ litedramcore_bankmachine4_row_close <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_193 = dummy_s;
+ dummy_d_191 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_194;
+reg dummy_d_192;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine4_cmd_payload_cas <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
+ if (litedramcore_bankmachine4_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- soc_sdram_bankmachine3_cmd_payload_cas <= 1'd1;
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ litedramcore_bankmachine4_cmd_payload_cas <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_194 = dummy_s;
+ dummy_d_192 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_195;
+reg dummy_d_193;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine4_cmd_payload_ras <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
- if ((soc_sdram_bankmachine3_twtpcon_ready & soc_sdram_bankmachine3_trascon_ready)) begin
- soc_sdram_bankmachine3_cmd_payload_ras <= 1'd1;
+ if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+ litedramcore_bankmachine4_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine3_trccon_ready) begin
- soc_sdram_bankmachine3_cmd_payload_ras <= 1'd1;
+ if (litedramcore_bankmachine4_trccon_ready) begin
+ litedramcore_bankmachine4_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_195 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine4_req_valid;
-assign soc_sdram_bankmachine4_req_ready = soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine4_req_we;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine4_req_addr;
-assign soc_sdram_bankmachine4_cmd_buffer_sink_valid = soc_sdram_bankmachine4_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine4_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine4_cmd_buffer_sink_first = soc_sdram_bankmachine4_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine4_cmd_buffer_sink_last = soc_sdram_bankmachine4_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine4_cmd_buffer_sink_payload_we = soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine4_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine4_cmd_buffer_source_ready = (soc_sdram_bankmachine4_req_wdata_ready | soc_sdram_bankmachine4_req_rdata_valid);
-assign soc_sdram_bankmachine4_req_lock = (soc_sdram_bankmachine4_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine4_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine4_row_hit = (soc_sdram_bankmachine4_row == soc_sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7]);
-assign soc_sdram_bankmachine4_cmd_payload_ba = 3'd4;
-
-// synthesis translate_off
-reg dummy_d_196;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_a <= 14'd0;
- if (soc_sdram_bankmachine4_row_col_n_addr_sel) begin
- soc_sdram_bankmachine4_cmd_payload_a <= soc_sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7];
- end else begin
- soc_sdram_bankmachine4_cmd_payload_a <= ((soc_sdram_bankmachine4_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
- end
-// synthesis translate_off
- dummy_d_196 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine4_twtpcon_valid = ((soc_sdram_bankmachine4_cmd_valid & soc_sdram_bankmachine4_cmd_ready) & soc_sdram_bankmachine4_cmd_payload_is_write);
-assign soc_sdram_bankmachine4_trccon_valid = ((soc_sdram_bankmachine4_cmd_valid & soc_sdram_bankmachine4_cmd_ready) & soc_sdram_bankmachine4_row_open);
-assign soc_sdram_bankmachine4_trascon_valid = ((soc_sdram_bankmachine4_cmd_valid & soc_sdram_bankmachine4_cmd_ready) & soc_sdram_bankmachine4_row_open);
-
-// synthesis translate_off
-reg dummy_d_197;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine4_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine4_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine4_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr[20:7] != soc_sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7])) begin
- soc_sdram_bankmachine4_auto_precharge <= (soc_sdram_bankmachine4_row_close == 1'd0);
- end
- end
-// synthesis translate_off
- dummy_d_197 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
-assign {soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
-assign {soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
-assign {soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = soc_sdram_bankmachine4_cmd_buffer_lookahead_source_ready;
-
-// synthesis translate_off
-reg dummy_d_198;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine4_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine4_cmd_buffer_lookahead_produce - 1'd1);
- end else begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine4_cmd_buffer_lookahead_produce;
- end
-// synthesis translate_off
- dummy_d_198 = dummy_s;
+ dummy_d_193 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | soc_sdram_bankmachine4_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re);
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine4_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = soc_sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (soc_sdram_bankmachine4_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (soc_sdram_bankmachine4_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine4_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine4_cmd_buffer_source_valid) | soc_sdram_bankmachine4_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_199;
+reg dummy_d_194;
// synthesis translate_on
always @(*) begin
- vns_bankmachine4_next_state <= 4'd0;
- vns_bankmachine4_next_state <= vns_bankmachine4_state;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_cmd_payload_we <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
- if ((soc_sdram_bankmachine4_twtpcon_ready & soc_sdram_bankmachine4_trascon_ready)) begin
- if (soc_sdram_bankmachine4_cmd_ready) begin
- vns_bankmachine4_next_state <= 3'd5;
- end
+ if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+ litedramcore_bankmachine4_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
- if ((soc_sdram_bankmachine4_twtpcon_ready & soc_sdram_bankmachine4_trascon_ready)) begin
- vns_bankmachine4_next_state <= 3'd5;
- end
end
2'd3: begin
- if (soc_sdram_bankmachine4_trccon_ready) begin
- if (soc_sdram_bankmachine4_cmd_ready) begin
- vns_bankmachine4_next_state <= 3'd7;
- end
- end
end
3'd4: begin
- if ((~soc_sdram_bankmachine4_refresh_req)) begin
- vns_bankmachine4_next_state <= 1'd0;
- end
end
3'd5: begin
- vns_bankmachine4_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine4_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine4_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine4_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
- vns_bankmachine4_next_state <= 3'd4;
+ if (litedramcore_bankmachine4_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- if ((soc_sdram_bankmachine4_cmd_ready & soc_sdram_bankmachine4_auto_precharge)) begin
- vns_bankmachine4_next_state <= 2'd2;
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine4_cmd_payload_we <= 1'd1;
+ end else begin
end
end else begin
- vns_bankmachine4_next_state <= 1'd1;
end
end else begin
- vns_bankmachine4_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_199 = dummy_s;
+ dummy_d_194 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_200;
+reg dummy_d_195;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_we <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
- if ((soc_sdram_bankmachine4_twtpcon_ready & soc_sdram_bankmachine4_trascon_ready)) begin
- soc_sdram_bankmachine4_cmd_payload_we <= 1'd1;
+ if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+ litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine4_trccon_ready) begin
+ litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1;
+ end
end
3'd4: begin
+ litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine4_cmd_payload_we <= 1'd1;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_200 = dummy_s;
+ dummy_d_195 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_201;
+reg dummy_d_196;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine4_trccon_ready) begin
- soc_sdram_bankmachine4_row_col_n_addr_sel <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine4_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_201 = dummy_s;
+ dummy_d_196 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_202;
+reg dummy_d_197;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
- if ((soc_sdram_bankmachine4_twtpcon_ready & soc_sdram_bankmachine4_trascon_ready)) begin
- soc_sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine4_trccon_ready) begin
- soc_sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
+ if (litedramcore_bankmachine4_trccon_ready) begin
+ litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1;
end
end
3'd4: begin
- soc_sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_202 = dummy_s;
+ dummy_d_197 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_203;
+reg dummy_d_198;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
+ if (litedramcore_bankmachine4_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1;
end else begin
- soc_sdram_bankmachine4_cmd_payload_is_read <= 1'd1;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_203 = dummy_s;
+ dummy_d_198 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_204;
+reg dummy_d_199;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_req_wdata_ready <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
+ if (litedramcore_bankmachine4_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine4_cmd_payload_is_write <= 1'd1;
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine4_req_wdata_ready <= litedramcore_bankmachine4_cmd_ready;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_204 = dummy_s;
+ dummy_d_199 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine5_req_valid;
+assign litedramcore_bankmachine5_req_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine5_req_we;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine5_req_addr;
+assign litedramcore_bankmachine5_cmd_buffer_sink_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine5_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine5_cmd_buffer_sink_first = litedramcore_bankmachine5_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine5_cmd_buffer_sink_last = litedramcore_bankmachine5_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine5_cmd_buffer_sink_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine5_cmd_buffer_sink_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine5_cmd_buffer_source_ready = (litedramcore_bankmachine5_req_wdata_ready | litedramcore_bankmachine5_req_rdata_valid);
+assign litedramcore_bankmachine5_req_lock = (litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine5_cmd_buffer_source_valid);
+assign litedramcore_bankmachine5_row_hit = (litedramcore_bankmachine5_row == litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7]);
+assign litedramcore_bankmachine5_cmd_payload_ba = 3'd5;
// synthesis translate_off
-reg dummy_d_205;
+reg dummy_d_200;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine5_cmd_payload_a <= 14'd0;
+ if (litedramcore_bankmachine5_row_col_n_addr_sel) begin
+ litedramcore_bankmachine5_cmd_payload_a <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7];
+ end else begin
+ litedramcore_bankmachine5_cmd_payload_a <= ((litedramcore_bankmachine5_auto_precharge <<< 4'd10) | {litedramcore_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ end
+// synthesis translate_off
+ dummy_d_200 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine5_twtpcon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_cmd_payload_is_write);
+assign litedramcore_bankmachine5_trccon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open);
+assign litedramcore_bankmachine5_trascon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open);
+
+// synthesis translate_off
+reg dummy_d_201;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine5_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine5_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7])) begin
+ litedramcore_bankmachine5_auto_precharge <= (litedramcore_bankmachine5_row_close == 1'd0);
+ end
+ end
+// synthesis translate_off
+ dummy_d_201 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
+assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
+assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
+assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_first = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_last = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready;
+
+// synthesis translate_off
+reg dummy_d_202;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine5_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce - 1'd1);
+ end else begin
+ litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine5_cmd_buffer_lookahead_produce;
+ end
+// synthesis translate_off
+ dummy_d_202 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | litedramcore_bankmachine5_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re);
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine5_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready);
+
+// synthesis translate_off
+reg dummy_d_203;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_req_wdata_ready <= 1'd0;
- case (vns_bankmachine4_state)
+ bankmachine5_next_state <= 4'd0;
+ bankmachine5_next_state <= bankmachine5_state;
+ case (bankmachine5_state)
1'd1: begin
+ if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+ if (litedramcore_bankmachine5_cmd_ready) begin
+ bankmachine5_next_state <= 3'd5;
+ end
+ end
end
2'd2: begin
+ if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+ bankmachine5_next_state <= 3'd5;
+ end
end
2'd3: begin
+ if (litedramcore_bankmachine5_trccon_ready) begin
+ if (litedramcore_bankmachine5_cmd_ready) begin
+ bankmachine5_next_state <= 3'd7;
+ end
+ end
end
3'd4: begin
+ if ((~litedramcore_bankmachine5_refresh_req)) begin
+ bankmachine5_next_state <= 1'd0;
+ end
end
3'd5: begin
+ bankmachine5_next_state <= 3'd6;
end
3'd6: begin
+ bankmachine5_next_state <= 2'd3;
end
3'd7: begin
+ bankmachine5_next_state <= 4'd8;
end
4'd8: begin
+ bankmachine5_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
+ if (litedramcore_bankmachine5_refresh_req) begin
+ bankmachine5_next_state <= 3'd4;
end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine4_req_wdata_ready <= soc_sdram_bankmachine4_cmd_ready;
- end else begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ if ((litedramcore_bankmachine5_cmd_ready & litedramcore_bankmachine5_auto_precharge)) begin
+ bankmachine5_next_state <= 2'd2;
end
end else begin
+ bankmachine5_next_state <= 1'd1;
end
end else begin
+ bankmachine5_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_205 = dummy_s;
+ dummy_d_203 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_206;
+reg dummy_d_204;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_req_rdata_valid <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine5_req_rdata_valid <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
+ if (litedramcore_bankmachine5_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
end else begin
- soc_sdram_bankmachine4_req_rdata_valid <= soc_sdram_bankmachine4_cmd_ready;
+ litedramcore_bankmachine5_req_rdata_valid <= litedramcore_bankmachine5_cmd_ready;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_206 = dummy_s;
+ dummy_d_204 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_207;
+reg dummy_d_205;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine5_state)
+ 1'd1: begin
+ end
+ 2'd2: begin
+ end
+ 2'd3: begin
+ if (litedramcore_bankmachine5_trccon_ready) begin
+ litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1;
+ end
+ end
+ 3'd4: begin
+ end
+ 3'd5: begin
+ end
+ 3'd6: begin
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ default: begin
+ end
+ endcase
+// synthesis translate_off
+ dummy_d_205 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_206;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_refresh_gnt <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine5_refresh_gnt <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
2'd3: begin
end
3'd4: begin
- if (soc_sdram_bankmachine4_twtpcon_ready) begin
- soc_sdram_bankmachine4_refresh_gnt <= 1'd1;
+ if (litedramcore_bankmachine5_twtpcon_ready) begin
+ litedramcore_bankmachine5_refresh_gnt <= 1'd1;
end
end
3'd5: begin
end
endcase
// synthesis translate_off
- dummy_d_207 = dummy_s;
+ dummy_d_206 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_208;
+reg dummy_d_207;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_valid <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine5_cmd_valid <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
- if ((soc_sdram_bankmachine4_twtpcon_ready & soc_sdram_bankmachine4_trascon_ready)) begin
- soc_sdram_bankmachine4_cmd_valid <= 1'd1;
+ if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+ litedramcore_bankmachine5_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine4_trccon_ready) begin
- soc_sdram_bankmachine4_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine5_trccon_ready) begin
+ litedramcore_bankmachine5_cmd_valid <= 1'd1;
end
end
3'd4: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
+ if (litedramcore_bankmachine5_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- soc_sdram_bankmachine4_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ litedramcore_bankmachine5_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_208 = dummy_s;
+ dummy_d_207 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_209;
+reg dummy_d_208;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_row_open <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine5_row_open <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine4_trccon_ready) begin
- soc_sdram_bankmachine4_row_open <= 1'd1;
+ if (litedramcore_bankmachine5_trccon_ready) begin
+ litedramcore_bankmachine5_row_open <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_209 = dummy_s;
+ dummy_d_208 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_210;
+reg dummy_d_209;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_row_close <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine5_row_close <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
- soc_sdram_bankmachine4_row_close <= 1'd1;
+ litedramcore_bankmachine5_row_close <= 1'd1;
end
2'd2: begin
- soc_sdram_bankmachine4_row_close <= 1'd1;
+ litedramcore_bankmachine5_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- soc_sdram_bankmachine4_row_close <= 1'd1;
+ litedramcore_bankmachine5_row_close <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_210 = dummy_s;
+ dummy_d_209 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_211;
+reg dummy_d_210;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine5_cmd_payload_cas <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
+ if (litedramcore_bankmachine5_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- soc_sdram_bankmachine4_cmd_payload_cas <= 1'd1;
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ litedramcore_bankmachine5_cmd_payload_cas <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_211 = dummy_s;
+ dummy_d_210 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_212;
+reg dummy_d_211;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine5_cmd_payload_ras <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
- if ((soc_sdram_bankmachine4_twtpcon_ready & soc_sdram_bankmachine4_trascon_ready)) begin
- soc_sdram_bankmachine4_cmd_payload_ras <= 1'd1;
+ if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+ litedramcore_bankmachine5_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine4_trccon_ready) begin
- soc_sdram_bankmachine4_cmd_payload_ras <= 1'd1;
+ if (litedramcore_bankmachine5_trccon_ready) begin
+ litedramcore_bankmachine5_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_212 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine5_req_valid;
-assign soc_sdram_bankmachine5_req_ready = soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine5_req_we;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine5_req_addr;
-assign soc_sdram_bankmachine5_cmd_buffer_sink_valid = soc_sdram_bankmachine5_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine5_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine5_cmd_buffer_sink_first = soc_sdram_bankmachine5_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine5_cmd_buffer_sink_last = soc_sdram_bankmachine5_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine5_cmd_buffer_sink_payload_we = soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine5_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine5_cmd_buffer_source_ready = (soc_sdram_bankmachine5_req_wdata_ready | soc_sdram_bankmachine5_req_rdata_valid);
-assign soc_sdram_bankmachine5_req_lock = (soc_sdram_bankmachine5_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine5_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine5_row_hit = (soc_sdram_bankmachine5_row == soc_sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7]);
-assign soc_sdram_bankmachine5_cmd_payload_ba = 3'd5;
-
-// synthesis translate_off
-reg dummy_d_213;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_a <= 14'd0;
- if (soc_sdram_bankmachine5_row_col_n_addr_sel) begin
- soc_sdram_bankmachine5_cmd_payload_a <= soc_sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7];
- end else begin
- soc_sdram_bankmachine5_cmd_payload_a <= ((soc_sdram_bankmachine5_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
- end
-// synthesis translate_off
- dummy_d_213 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine5_twtpcon_valid = ((soc_sdram_bankmachine5_cmd_valid & soc_sdram_bankmachine5_cmd_ready) & soc_sdram_bankmachine5_cmd_payload_is_write);
-assign soc_sdram_bankmachine5_trccon_valid = ((soc_sdram_bankmachine5_cmd_valid & soc_sdram_bankmachine5_cmd_ready) & soc_sdram_bankmachine5_row_open);
-assign soc_sdram_bankmachine5_trascon_valid = ((soc_sdram_bankmachine5_cmd_valid & soc_sdram_bankmachine5_cmd_ready) & soc_sdram_bankmachine5_row_open);
-
-// synthesis translate_off
-reg dummy_d_214;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine5_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine5_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine5_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr[20:7] != soc_sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7])) begin
- soc_sdram_bankmachine5_auto_precharge <= (soc_sdram_bankmachine5_row_close == 1'd0);
- end
- end
-// synthesis translate_off
- dummy_d_214 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
-assign {soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
-assign {soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
-assign {soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = soc_sdram_bankmachine5_cmd_buffer_lookahead_source_ready;
-
-// synthesis translate_off
-reg dummy_d_215;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine5_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine5_cmd_buffer_lookahead_produce - 1'd1);
- end else begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine5_cmd_buffer_lookahead_produce;
- end
-// synthesis translate_off
- dummy_d_215 = dummy_s;
+ dummy_d_211 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | soc_sdram_bankmachine5_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re);
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine5_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = soc_sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (soc_sdram_bankmachine5_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (soc_sdram_bankmachine5_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine5_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine5_cmd_buffer_source_valid) | soc_sdram_bankmachine5_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_216;
+reg dummy_d_212;
// synthesis translate_on
always @(*) begin
- vns_bankmachine5_next_state <= 4'd0;
- vns_bankmachine5_next_state <= vns_bankmachine5_state;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_cmd_payload_we <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
- if ((soc_sdram_bankmachine5_twtpcon_ready & soc_sdram_bankmachine5_trascon_ready)) begin
- if (soc_sdram_bankmachine5_cmd_ready) begin
- vns_bankmachine5_next_state <= 3'd5;
- end
+ if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+ litedramcore_bankmachine5_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
- if ((soc_sdram_bankmachine5_twtpcon_ready & soc_sdram_bankmachine5_trascon_ready)) begin
- vns_bankmachine5_next_state <= 3'd5;
- end
end
2'd3: begin
- if (soc_sdram_bankmachine5_trccon_ready) begin
- if (soc_sdram_bankmachine5_cmd_ready) begin
- vns_bankmachine5_next_state <= 3'd7;
- end
- end
end
3'd4: begin
- if ((~soc_sdram_bankmachine5_refresh_req)) begin
- vns_bankmachine5_next_state <= 1'd0;
- end
end
3'd5: begin
- vns_bankmachine5_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine5_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine5_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine5_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
- vns_bankmachine5_next_state <= 3'd4;
+ if (litedramcore_bankmachine5_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- if ((soc_sdram_bankmachine5_cmd_ready & soc_sdram_bankmachine5_auto_precharge)) begin
- vns_bankmachine5_next_state <= 2'd2;
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine5_cmd_payload_we <= 1'd1;
+ end else begin
end
end else begin
- vns_bankmachine5_next_state <= 1'd1;
end
end else begin
- vns_bankmachine5_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_216 = dummy_s;
+ dummy_d_212 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_217;
+reg dummy_d_213;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_we <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
- if ((soc_sdram_bankmachine5_twtpcon_ready & soc_sdram_bankmachine5_trascon_ready)) begin
- soc_sdram_bankmachine5_cmd_payload_we <= 1'd1;
+ if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+ litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1;
+ end
+ end
+ 2'd2: begin
+ end
+ 2'd3: begin
+ if (litedramcore_bankmachine5_trccon_ready) begin
+ litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1;
end
end
+ 3'd4: begin
+ litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1;
+ end
+ 3'd5: begin
+ end
+ 3'd6: begin
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ default: begin
+ end
+ endcase
+// synthesis translate_off
+ dummy_d_213 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_214;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0;
+ case (bankmachine5_state)
+ 1'd1: begin
+ end
2'd2: begin
end
2'd3: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
+ if (litedramcore_bankmachine5_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine5_cmd_payload_we <= 1'd1;
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
end else begin
+ litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_217 = dummy_s;
+ dummy_d_214 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_218;
+reg dummy_d_215;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine5_trccon_ready) begin
- soc_sdram_bankmachine5_row_col_n_addr_sel <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine5_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_218 = dummy_s;
+ dummy_d_215 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_219;
+reg dummy_d_216;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_req_wdata_ready <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
- if ((soc_sdram_bankmachine5_twtpcon_ready & soc_sdram_bankmachine5_trascon_ready)) begin
- soc_sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine5_trccon_ready) begin
- soc_sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
- end
end
3'd4: begin
- soc_sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine5_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine5_req_wdata_ready <= litedramcore_bankmachine5_cmd_ready;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
+// synthesis translate_off
+ dummy_d_216 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine6_req_valid;
+assign litedramcore_bankmachine6_req_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine6_req_we;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine6_req_addr;
+assign litedramcore_bankmachine6_cmd_buffer_sink_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine6_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine6_cmd_buffer_sink_first = litedramcore_bankmachine6_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine6_cmd_buffer_sink_last = litedramcore_bankmachine6_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine6_cmd_buffer_sink_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine6_cmd_buffer_sink_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine6_cmd_buffer_source_ready = (litedramcore_bankmachine6_req_wdata_ready | litedramcore_bankmachine6_req_rdata_valid);
+assign litedramcore_bankmachine6_req_lock = (litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine6_cmd_buffer_source_valid);
+assign litedramcore_bankmachine6_row_hit = (litedramcore_bankmachine6_row == litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7]);
+assign litedramcore_bankmachine6_cmd_payload_ba = 3'd6;
+
+// synthesis translate_off
+reg dummy_d_217;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine6_cmd_payload_a <= 14'd0;
+ if (litedramcore_bankmachine6_row_col_n_addr_sel) begin
+ litedramcore_bankmachine6_cmd_payload_a <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7];
+ end else begin
+ litedramcore_bankmachine6_cmd_payload_a <= ((litedramcore_bankmachine6_auto_precharge <<< 4'd10) | {litedramcore_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ end
+// synthesis translate_off
+ dummy_d_217 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine6_twtpcon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_cmd_payload_is_write);
+assign litedramcore_bankmachine6_trccon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open);
+assign litedramcore_bankmachine6_trascon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open);
+
+// synthesis translate_off
+reg dummy_d_218;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine6_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine6_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7])) begin
+ litedramcore_bankmachine6_auto_precharge <= (litedramcore_bankmachine6_row_close == 1'd0);
+ end
+ end
+// synthesis translate_off
+ dummy_d_218 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
+assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
+assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
+assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_first = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_last = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready;
+
+// synthesis translate_off
+reg dummy_d_219;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine6_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce - 1'd1);
+ end else begin
+ litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine6_cmd_buffer_lookahead_produce;
+ end
// synthesis translate_off
dummy_d_219 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | litedramcore_bankmachine6_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re);
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine6_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready);
// synthesis translate_off
reg dummy_d_220;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine5_state)
+ bankmachine6_next_state <= 4'd0;
+ bankmachine6_next_state <= bankmachine6_state;
+ case (bankmachine6_state)
1'd1: begin
+ if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+ if (litedramcore_bankmachine6_cmd_ready) begin
+ bankmachine6_next_state <= 3'd5;
+ end
+ end
end
2'd2: begin
+ if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+ bankmachine6_next_state <= 3'd5;
+ end
end
2'd3: begin
+ if (litedramcore_bankmachine6_trccon_ready) begin
+ if (litedramcore_bankmachine6_cmd_ready) begin
+ bankmachine6_next_state <= 3'd7;
+ end
+ end
end
3'd4: begin
+ if ((~litedramcore_bankmachine6_refresh_req)) begin
+ bankmachine6_next_state <= 1'd0;
+ end
end
3'd5: begin
+ bankmachine6_next_state <= 3'd6;
end
3'd6: begin
+ bankmachine6_next_state <= 2'd3;
end
3'd7: begin
+ bankmachine6_next_state <= 4'd8;
end
4'd8: begin
+ bankmachine6_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
+ if (litedramcore_bankmachine6_refresh_req) begin
+ bankmachine6_next_state <= 3'd4;
end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine5_cmd_payload_is_read <= 1'd1;
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ if ((litedramcore_bankmachine6_cmd_ready & litedramcore_bankmachine6_auto_precharge)) begin
+ bankmachine6_next_state <= 2'd2;
end
end else begin
+ bankmachine6_next_state <= 1'd1;
end
end else begin
+ bankmachine6_next_state <= 2'd3;
end
end
end
reg dummy_d_221;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine6_req_rdata_valid <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
+ if (litedramcore_bankmachine6_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine5_cmd_payload_is_write <= 1'd1;
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
end else begin
+ litedramcore_bankmachine6_req_rdata_valid <= litedramcore_bankmachine6_cmd_ready;
end
end else begin
end
reg dummy_d_222;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_req_wdata_ready <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine6_refresh_gnt <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
2'd3: begin
end
3'd4: begin
+ if (litedramcore_bankmachine6_twtpcon_ready) begin
+ litedramcore_bankmachine6_refresh_gnt <= 1'd1;
+ end
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine5_req_wdata_ready <= soc_sdram_bankmachine5_cmd_ready;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
reg dummy_d_223;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_req_rdata_valid <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine6_cmd_valid <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
+ if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+ litedramcore_bankmachine6_cmd_valid <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine6_trccon_ready) begin
+ litedramcore_bankmachine6_cmd_valid <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
+ if (litedramcore_bankmachine6_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine5_req_rdata_valid <= soc_sdram_bankmachine5_cmd_ready;
- end
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ litedramcore_bankmachine6_cmd_valid <= 1'd1;
end else begin
end
end else begin
reg dummy_d_224;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_refresh_gnt <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine6_trccon_ready) begin
+ litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1;
+ end
end
3'd4: begin
- if (soc_sdram_bankmachine5_twtpcon_ready) begin
- soc_sdram_bankmachine5_refresh_gnt <= 1'd1;
- end
end
3'd5: begin
end
reg dummy_d_225;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_valid <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine6_row_open <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
- if ((soc_sdram_bankmachine5_twtpcon_ready & soc_sdram_bankmachine5_trascon_ready)) begin
- soc_sdram_bankmachine5_cmd_valid <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine5_trccon_ready) begin
- soc_sdram_bankmachine5_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine6_trccon_ready) begin
+ litedramcore_bankmachine6_row_open <= 1'd1;
end
end
3'd4: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- soc_sdram_bankmachine5_cmd_valid <= 1'd1;
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
reg dummy_d_226;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_row_open <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine6_row_close <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
+ litedramcore_bankmachine6_row_close <= 1'd1;
end
2'd2: begin
+ litedramcore_bankmachine6_row_close <= 1'd1;
end
2'd3: begin
- if (soc_sdram_bankmachine5_trccon_ready) begin
- soc_sdram_bankmachine5_row_open <= 1'd1;
- end
end
3'd4: begin
+ litedramcore_bankmachine6_row_close <= 1'd1;
end
3'd5: begin
end
reg dummy_d_227;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_row_close <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine6_cmd_payload_cas <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
- soc_sdram_bankmachine5_row_close <= 1'd1;
end
2'd2: begin
- soc_sdram_bankmachine5_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- soc_sdram_bankmachine5_row_close <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine6_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ litedramcore_bankmachine6_cmd_payload_cas <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
reg dummy_d_228;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine6_cmd_payload_ras <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
+ if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+ litedramcore_bankmachine6_cmd_payload_ras <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine6_trccon_ready) begin
+ litedramcore_bankmachine6_cmd_payload_ras <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- soc_sdram_bankmachine5_cmd_payload_cas <= 1'd1;
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
reg dummy_d_229;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine6_cmd_payload_we <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
- if ((soc_sdram_bankmachine5_twtpcon_ready & soc_sdram_bankmachine5_trascon_ready)) begin
- soc_sdram_bankmachine5_cmd_payload_ras <= 1'd1;
+ if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+ litedramcore_bankmachine6_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine5_trccon_ready) begin
- soc_sdram_bankmachine5_cmd_payload_ras <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine6_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine6_cmd_payload_we <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
dummy_d_229 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine6_req_valid;
-assign soc_sdram_bankmachine6_req_ready = soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine6_req_we;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine6_req_addr;
-assign soc_sdram_bankmachine6_cmd_buffer_sink_valid = soc_sdram_bankmachine6_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine6_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine6_cmd_buffer_sink_first = soc_sdram_bankmachine6_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine6_cmd_buffer_sink_last = soc_sdram_bankmachine6_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine6_cmd_buffer_sink_payload_we = soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine6_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine6_cmd_buffer_source_ready = (soc_sdram_bankmachine6_req_wdata_ready | soc_sdram_bankmachine6_req_rdata_valid);
-assign soc_sdram_bankmachine6_req_lock = (soc_sdram_bankmachine6_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine6_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine6_row_hit = (soc_sdram_bankmachine6_row == soc_sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7]);
-assign soc_sdram_bankmachine6_cmd_payload_ba = 3'd6;
// synthesis translate_off
reg dummy_d_230;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_a <= 14'd0;
- if (soc_sdram_bankmachine6_row_col_n_addr_sel) begin
- soc_sdram_bankmachine6_cmd_payload_a <= soc_sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7];
- end else begin
- soc_sdram_bankmachine6_cmd_payload_a <= ((soc_sdram_bankmachine6_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
- end
-// synthesis translate_off
- dummy_d_230 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine6_twtpcon_valid = ((soc_sdram_bankmachine6_cmd_valid & soc_sdram_bankmachine6_cmd_ready) & soc_sdram_bankmachine6_cmd_payload_is_write);
-assign soc_sdram_bankmachine6_trccon_valid = ((soc_sdram_bankmachine6_cmd_valid & soc_sdram_bankmachine6_cmd_ready) & soc_sdram_bankmachine6_row_open);
-assign soc_sdram_bankmachine6_trascon_valid = ((soc_sdram_bankmachine6_cmd_valid & soc_sdram_bankmachine6_cmd_ready) & soc_sdram_bankmachine6_row_open);
-
-// synthesis translate_off
-reg dummy_d_231;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine6_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine6_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine6_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr[20:7] != soc_sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7])) begin
- soc_sdram_bankmachine6_auto_precharge <= (soc_sdram_bankmachine6_row_close == 1'd0);
- end
- end
-// synthesis translate_off
- dummy_d_231 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
-assign {soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
-assign {soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
-assign {soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = soc_sdram_bankmachine6_cmd_buffer_lookahead_source_ready;
-
-// synthesis translate_off
-reg dummy_d_232;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine6_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine6_cmd_buffer_lookahead_produce - 1'd1);
- end else begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine6_cmd_buffer_lookahead_produce;
- end
-// synthesis translate_off
- dummy_d_232 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | soc_sdram_bankmachine6_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re);
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine6_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = soc_sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (soc_sdram_bankmachine6_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (soc_sdram_bankmachine6_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine6_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine6_cmd_buffer_source_valid) | soc_sdram_bankmachine6_cmd_buffer_source_ready);
-
-// synthesis translate_off
-reg dummy_d_233;
-// synthesis translate_on
-always @(*) begin
- vns_bankmachine6_next_state <= 4'd0;
- vns_bankmachine6_next_state <= vns_bankmachine6_state;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
- if ((soc_sdram_bankmachine6_twtpcon_ready & soc_sdram_bankmachine6_trascon_ready)) begin
- if (soc_sdram_bankmachine6_cmd_ready) begin
- vns_bankmachine6_next_state <= 3'd5;
- end
+ if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+ litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1;
end
end
2'd2: begin
- if ((soc_sdram_bankmachine6_twtpcon_ready & soc_sdram_bankmachine6_trascon_ready)) begin
- vns_bankmachine6_next_state <= 3'd5;
- end
end
2'd3: begin
- if (soc_sdram_bankmachine6_trccon_ready) begin
- if (soc_sdram_bankmachine6_cmd_ready) begin
- vns_bankmachine6_next_state <= 3'd7;
- end
+ if (litedramcore_bankmachine6_trccon_ready) begin
+ litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1;
end
end
3'd4: begin
- if ((~soc_sdram_bankmachine6_refresh_req)) begin
- vns_bankmachine6_next_state <= 1'd0;
- end
+ litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
- vns_bankmachine6_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine6_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine6_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine6_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
- vns_bankmachine6_next_state <= 3'd4;
- end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- if ((soc_sdram_bankmachine6_cmd_ready & soc_sdram_bankmachine6_auto_precharge)) begin
- vns_bankmachine6_next_state <= 2'd2;
- end
- end else begin
- vns_bankmachine6_next_state <= 1'd1;
- end
- end else begin
- vns_bankmachine6_next_state <= 2'd3;
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_233 = dummy_s;
+ dummy_d_230 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_234;
+reg dummy_d_231;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_we <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
- if ((soc_sdram_bankmachine6_twtpcon_ready & soc_sdram_bankmachine6_trascon_ready)) begin
- soc_sdram_bankmachine6_cmd_payload_we <= 1'd1;
- end
end
2'd2: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
+ if (litedramcore_bankmachine6_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine6_cmd_payload_we <= 1'd1;
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
end else begin
+ litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_234 = dummy_s;
+ dummy_d_231 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_235;
+reg dummy_d_232;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine6_trccon_ready) begin
- soc_sdram_bankmachine6_row_col_n_addr_sel <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_235 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_236;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine6_state)
- 1'd1: begin
- if ((soc_sdram_bankmachine6_twtpcon_ready & soc_sdram_bankmachine6_trascon_ready)) begin
- soc_sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- if (soc_sdram_bankmachine6_trccon_ready) begin
- soc_sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
- end
- end
- 3'd4: begin
- soc_sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_236 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_237;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine6_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
+ if (litedramcore_bankmachine6_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1;
end else begin
- soc_sdram_bankmachine6_cmd_payload_is_read <= 1'd1;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_237 = dummy_s;
+ dummy_d_232 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_238;
+reg dummy_d_233;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_req_wdata_ready <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
+ if (litedramcore_bankmachine6_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine6_cmd_payload_is_write <= 1'd1;
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine6_req_wdata_ready <= litedramcore_bankmachine6_cmd_ready;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_238 = dummy_s;
+ dummy_d_233 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine7_req_valid;
+assign litedramcore_bankmachine7_req_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine7_req_we;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine7_req_addr;
+assign litedramcore_bankmachine7_cmd_buffer_sink_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine7_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine7_cmd_buffer_sink_first = litedramcore_bankmachine7_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine7_cmd_buffer_sink_last = litedramcore_bankmachine7_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine7_cmd_buffer_sink_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine7_cmd_buffer_sink_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine7_cmd_buffer_source_ready = (litedramcore_bankmachine7_req_wdata_ready | litedramcore_bankmachine7_req_rdata_valid);
+assign litedramcore_bankmachine7_req_lock = (litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine7_cmd_buffer_source_valid);
+assign litedramcore_bankmachine7_row_hit = (litedramcore_bankmachine7_row == litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7]);
+assign litedramcore_bankmachine7_cmd_payload_ba = 3'd7;
// synthesis translate_off
-reg dummy_d_239;
+reg dummy_d_234;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine7_cmd_payload_a <= 14'd0;
+ if (litedramcore_bankmachine7_row_col_n_addr_sel) begin
+ litedramcore_bankmachine7_cmd_payload_a <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7];
+ end else begin
+ litedramcore_bankmachine7_cmd_payload_a <= ((litedramcore_bankmachine7_auto_precharge <<< 4'd10) | {litedramcore_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ end
+// synthesis translate_off
+ dummy_d_234 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine7_twtpcon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_cmd_payload_is_write);
+assign litedramcore_bankmachine7_trccon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open);
+assign litedramcore_bankmachine7_trascon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open);
+
+// synthesis translate_off
+reg dummy_d_235;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine7_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine7_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr[20:7] != litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7])) begin
+ litedramcore_bankmachine7_auto_precharge <= (litedramcore_bankmachine7_row_close == 1'd0);
+ end
+ end
+// synthesis translate_off
+ dummy_d_235 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
+assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
+assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
+assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_first = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_last = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready;
+
+// synthesis translate_off
+reg dummy_d_236;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine7_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce - 1'd1);
+ end else begin
+ litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine7_cmd_buffer_lookahead_produce;
+ end
+// synthesis translate_off
+ dummy_d_236 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | litedramcore_bankmachine7_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re);
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine7_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready);
+
+// synthesis translate_off
+reg dummy_d_237;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_req_wdata_ready <= 1'd0;
- case (vns_bankmachine6_state)
+ bankmachine7_next_state <= 4'd0;
+ bankmachine7_next_state <= bankmachine7_state;
+ case (bankmachine7_state)
1'd1: begin
+ if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+ if (litedramcore_bankmachine7_cmd_ready) begin
+ bankmachine7_next_state <= 3'd5;
+ end
+ end
end
2'd2: begin
+ if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+ bankmachine7_next_state <= 3'd5;
+ end
end
2'd3: begin
+ if (litedramcore_bankmachine7_trccon_ready) begin
+ if (litedramcore_bankmachine7_cmd_ready) begin
+ bankmachine7_next_state <= 3'd7;
+ end
+ end
end
3'd4: begin
+ if ((~litedramcore_bankmachine7_refresh_req)) begin
+ bankmachine7_next_state <= 1'd0;
+ end
end
3'd5: begin
+ bankmachine7_next_state <= 3'd6;
end
3'd6: begin
+ bankmachine7_next_state <= 2'd3;
end
3'd7: begin
+ bankmachine7_next_state <= 4'd8;
end
4'd8: begin
+ bankmachine7_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
+ if (litedramcore_bankmachine7_refresh_req) begin
+ bankmachine7_next_state <= 3'd4;
end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine6_req_wdata_ready <= soc_sdram_bankmachine6_cmd_ready;
- end else begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ if ((litedramcore_bankmachine7_cmd_ready & litedramcore_bankmachine7_auto_precharge)) begin
+ bankmachine7_next_state <= 2'd2;
end
end else begin
+ bankmachine7_next_state <= 1'd1;
end
end else begin
+ bankmachine7_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_239 = dummy_s;
+ dummy_d_237 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_240;
+reg dummy_d_238;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_req_rdata_valid <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine7_req_rdata_valid <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
+ if (litedramcore_bankmachine7_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
end else begin
- soc_sdram_bankmachine6_req_rdata_valid <= soc_sdram_bankmachine6_cmd_ready;
+ litedramcore_bankmachine7_req_rdata_valid <= litedramcore_bankmachine7_cmd_ready;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_240 = dummy_s;
+ dummy_d_238 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_241;
+reg dummy_d_239;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_refresh_gnt <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine7_refresh_gnt <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
2'd3: begin
end
3'd4: begin
- if (soc_sdram_bankmachine6_twtpcon_ready) begin
- soc_sdram_bankmachine6_refresh_gnt <= 1'd1;
+ if (litedramcore_bankmachine7_twtpcon_ready) begin
+ litedramcore_bankmachine7_refresh_gnt <= 1'd1;
end
end
3'd5: begin
end
endcase
// synthesis translate_off
- dummy_d_241 = dummy_s;
+ dummy_d_239 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_242;
+reg dummy_d_240;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_valid <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine7_cmd_valid <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
- if ((soc_sdram_bankmachine6_twtpcon_ready & soc_sdram_bankmachine6_trascon_ready)) begin
- soc_sdram_bankmachine6_cmd_valid <= 1'd1;
+ if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+ litedramcore_bankmachine7_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine6_trccon_ready) begin
- soc_sdram_bankmachine6_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine7_trccon_ready) begin
+ litedramcore_bankmachine7_cmd_valid <= 1'd1;
end
end
3'd4: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
+ if (litedramcore_bankmachine7_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- soc_sdram_bankmachine6_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ litedramcore_bankmachine7_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_242 = dummy_s;
+ dummy_d_240 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_243;
+reg dummy_d_241;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_row_open <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine7_row_open <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine6_trccon_ready) begin
- soc_sdram_bankmachine6_row_open <= 1'd1;
+ if (litedramcore_bankmachine7_trccon_ready) begin
+ litedramcore_bankmachine7_row_open <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_243 = dummy_s;
+ dummy_d_241 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_244;
+reg dummy_d_242;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_row_close <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine7_row_close <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
- soc_sdram_bankmachine6_row_close <= 1'd1;
+ litedramcore_bankmachine7_row_close <= 1'd1;
end
2'd2: begin
- soc_sdram_bankmachine6_row_close <= 1'd1;
+ litedramcore_bankmachine7_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- soc_sdram_bankmachine6_row_close <= 1'd1;
+ litedramcore_bankmachine7_row_close <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_244 = dummy_s;
+ dummy_d_242 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_245;
+reg dummy_d_243;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine7_cmd_payload_cas <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
+ if (litedramcore_bankmachine7_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- soc_sdram_bankmachine6_cmd_payload_cas <= 1'd1;
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ litedramcore_bankmachine7_cmd_payload_cas <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_245 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_246;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine6_state)
- 1'd1: begin
- if ((soc_sdram_bankmachine6_twtpcon_ready & soc_sdram_bankmachine6_trascon_ready)) begin
- soc_sdram_bankmachine6_cmd_payload_ras <= 1'd1;
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- if (soc_sdram_bankmachine6_trccon_ready) begin
- soc_sdram_bankmachine6_cmd_payload_ras <= 1'd1;
- end
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_246 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine7_req_valid;
-assign soc_sdram_bankmachine7_req_ready = soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine7_req_we;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine7_req_addr;
-assign soc_sdram_bankmachine7_cmd_buffer_sink_valid = soc_sdram_bankmachine7_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine7_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine7_cmd_buffer_sink_first = soc_sdram_bankmachine7_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine7_cmd_buffer_sink_last = soc_sdram_bankmachine7_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine7_cmd_buffer_sink_payload_we = soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine7_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine7_cmd_buffer_source_ready = (soc_sdram_bankmachine7_req_wdata_ready | soc_sdram_bankmachine7_req_rdata_valid);
-assign soc_sdram_bankmachine7_req_lock = (soc_sdram_bankmachine7_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine7_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine7_row_hit = (soc_sdram_bankmachine7_row == soc_sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7]);
-assign soc_sdram_bankmachine7_cmd_payload_ba = 3'd7;
-
-// synthesis translate_off
-reg dummy_d_247;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_a <= 14'd0;
- if (soc_sdram_bankmachine7_row_col_n_addr_sel) begin
- soc_sdram_bankmachine7_cmd_payload_a <= soc_sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7];
- end else begin
- soc_sdram_bankmachine7_cmd_payload_a <= ((soc_sdram_bankmachine7_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
- end
-// synthesis translate_off
- dummy_d_247 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine7_twtpcon_valid = ((soc_sdram_bankmachine7_cmd_valid & soc_sdram_bankmachine7_cmd_ready) & soc_sdram_bankmachine7_cmd_payload_is_write);
-assign soc_sdram_bankmachine7_trccon_valid = ((soc_sdram_bankmachine7_cmd_valid & soc_sdram_bankmachine7_cmd_ready) & soc_sdram_bankmachine7_row_open);
-assign soc_sdram_bankmachine7_trascon_valid = ((soc_sdram_bankmachine7_cmd_valid & soc_sdram_bankmachine7_cmd_ready) & soc_sdram_bankmachine7_row_open);
-
-// synthesis translate_off
-reg dummy_d_248;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine7_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine7_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine7_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr[20:7] != soc_sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7])) begin
- soc_sdram_bankmachine7_auto_precharge <= (soc_sdram_bankmachine7_row_close == 1'd0);
- end
- end
-// synthesis translate_off
- dummy_d_248 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
-assign {soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
-assign {soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
-assign {soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = soc_sdram_bankmachine7_cmd_buffer_lookahead_source_ready;
-
-// synthesis translate_off
-reg dummy_d_249;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine7_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine7_cmd_buffer_lookahead_produce - 1'd1);
- end else begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine7_cmd_buffer_lookahead_produce;
- end
-// synthesis translate_off
- dummy_d_249 = dummy_s;
+ dummy_d_243 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | soc_sdram_bankmachine7_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re);
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine7_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = soc_sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (soc_sdram_bankmachine7_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (soc_sdram_bankmachine7_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine7_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine7_cmd_buffer_source_valid) | soc_sdram_bankmachine7_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_250;
+reg dummy_d_244;
// synthesis translate_on
always @(*) begin
- vns_bankmachine7_next_state <= 4'd0;
- vns_bankmachine7_next_state <= vns_bankmachine7_state;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_cmd_payload_ras <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
- if ((soc_sdram_bankmachine7_twtpcon_ready & soc_sdram_bankmachine7_trascon_ready)) begin
- if (soc_sdram_bankmachine7_cmd_ready) begin
- vns_bankmachine7_next_state <= 3'd5;
- end
+ if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+ litedramcore_bankmachine7_cmd_payload_ras <= 1'd1;
end
end
2'd2: begin
- if ((soc_sdram_bankmachine7_twtpcon_ready & soc_sdram_bankmachine7_trascon_ready)) begin
- vns_bankmachine7_next_state <= 3'd5;
- end
end
2'd3: begin
- if (soc_sdram_bankmachine7_trccon_ready) begin
- if (soc_sdram_bankmachine7_cmd_ready) begin
- vns_bankmachine7_next_state <= 3'd7;
- end
+ if (litedramcore_bankmachine7_trccon_ready) begin
+ litedramcore_bankmachine7_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
- if ((~soc_sdram_bankmachine7_refresh_req)) begin
- vns_bankmachine7_next_state <= 1'd0;
- end
end
3'd5: begin
- vns_bankmachine7_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine7_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine7_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine7_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
- vns_bankmachine7_next_state <= 3'd4;
- end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- if ((soc_sdram_bankmachine7_cmd_ready & soc_sdram_bankmachine7_auto_precharge)) begin
- vns_bankmachine7_next_state <= 2'd2;
- end
- end else begin
- vns_bankmachine7_next_state <= 1'd1;
- end
- end else begin
- vns_bankmachine7_next_state <= 2'd3;
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_250 = dummy_s;
+ dummy_d_244 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_251;
+reg dummy_d_245;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_we <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_cmd_payload_we <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
- if ((soc_sdram_bankmachine7_twtpcon_ready & soc_sdram_bankmachine7_trascon_ready)) begin
- soc_sdram_bankmachine7_cmd_payload_we <= 1'd1;
+ if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+ litedramcore_bankmachine7_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
+ if (litedramcore_bankmachine7_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine7_cmd_payload_we <= 1'd1;
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine7_cmd_payload_we <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_251 = dummy_s;
+ dummy_d_245 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_252;
+reg dummy_d_246;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
+ if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+ litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine7_trccon_ready) begin
- soc_sdram_bankmachine7_row_col_n_addr_sel <= 1'd1;
+ if (litedramcore_bankmachine7_trccon_ready) begin
+ litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1;
end
end
3'd4: begin
+ litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_252 = dummy_s;
+ dummy_d_246 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_253;
+reg dummy_d_247;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
- if ((soc_sdram_bankmachine7_twtpcon_ready & soc_sdram_bankmachine7_trascon_ready)) begin
- soc_sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine7_trccon_ready) begin
- soc_sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
+ if (litedramcore_bankmachine7_trccon_ready) begin
+ litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1;
end
end
3'd4: begin
- soc_sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_253 = dummy_s;
+ dummy_d_247 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_254;
+reg dummy_d_248;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
+ if (litedramcore_bankmachine7_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
end else begin
- soc_sdram_bankmachine7_cmd_payload_is_read <= 1'd1;
+ litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_254 = dummy_s;
+ dummy_d_248 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_255;
+reg dummy_d_249;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
+ if (litedramcore_bankmachine7_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine7_cmd_payload_is_write <= 1'd1;
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_255 = dummy_s;
+ dummy_d_249 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_256;
+reg dummy_d_250;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_req_wdata_ready <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_req_wdata_ready <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
+ if (litedramcore_bankmachine7_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine7_req_wdata_ready <= soc_sdram_bankmachine7_cmd_ready;
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine7_req_wdata_ready <= litedramcore_bankmachine7_cmd_ready;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_256 = dummy_s;
+ dummy_d_250 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_trrdcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we)));
+assign litedramcore_tfawcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we)));
+assign litedramcore_ras_allowed = (litedramcore_trrdcon_ready & litedramcore_tfawcon_ready);
+assign litedramcore_tccdcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_cmd_payload_is_write | litedramcore_choose_req_cmd_payload_is_read));
+assign litedramcore_cas_allowed = litedramcore_tccdcon_ready;
+assign litedramcore_twtrcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
+assign litedramcore_read_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_read) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_read)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_read)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_read)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_read)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_read)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_read)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_read));
+assign litedramcore_write_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_write) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_write)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_write)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_write)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_write)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_write)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_write)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_write));
+assign litedramcore_max_time0 = (litedramcore_time0 == 1'd0);
+assign litedramcore_max_time1 = (litedramcore_time1 == 1'd0);
+assign litedramcore_bankmachine0_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine1_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine2_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine3_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine4_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine5_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine6_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine7_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_go_to_refresh = (((((((litedramcore_bankmachine0_refresh_gnt & litedramcore_bankmachine1_refresh_gnt) & litedramcore_bankmachine2_refresh_gnt) & litedramcore_bankmachine3_refresh_gnt) & litedramcore_bankmachine4_refresh_gnt) & litedramcore_bankmachine5_refresh_gnt) & litedramcore_bankmachine6_refresh_gnt) & litedramcore_bankmachine7_refresh_gnt);
+assign litedramcore_interface_rdata = {litedramcore_dfi_p3_rddata, litedramcore_dfi_p2_rddata, litedramcore_dfi_p1_rddata, litedramcore_dfi_p0_rddata};
+assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata;
+assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata;
+assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata;
+assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata;
+assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we);
+assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we);
+assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we);
+assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we);
// synthesis translate_off
-reg dummy_d_257;
+reg dummy_d_251;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_req_rdata_valid <= 1'd0;
- case (vns_bankmachine7_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine7_req_rdata_valid <= soc_sdram_bankmachine7_cmd_ready;
- end
- end else begin
- end
- end else begin
- end
- end
- end
- end
- endcase
+ litedramcore_choose_cmd_valids <= 8'd0;
+ litedramcore_choose_cmd_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+// synthesis translate_off
+ dummy_d_251 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_choose_cmd_request = litedramcore_choose_cmd_valids;
+assign litedramcore_choose_cmd_cmd_valid = rhs_array_muxed0;
+assign litedramcore_choose_cmd_cmd_payload_a = rhs_array_muxed1;
+assign litedramcore_choose_cmd_cmd_payload_ba = rhs_array_muxed2;
+assign litedramcore_choose_cmd_cmd_payload_is_read = rhs_array_muxed3;
+assign litedramcore_choose_cmd_cmd_payload_is_write = rhs_array_muxed4;
+assign litedramcore_choose_cmd_cmd_payload_is_cmd = rhs_array_muxed5;
+
+// synthesis translate_off
+reg dummy_d_252;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_choose_cmd_cmd_payload_cas <= 1'd0;
+ if (litedramcore_choose_cmd_cmd_valid) begin
+ litedramcore_choose_cmd_cmd_payload_cas <= t_array_muxed0;
+ end
+// synthesis translate_off
+ dummy_d_252 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_253;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_choose_cmd_cmd_payload_ras <= 1'd0;
+ if (litedramcore_choose_cmd_cmd_valid) begin
+ litedramcore_choose_cmd_cmd_payload_ras <= t_array_muxed1;
+ end
+// synthesis translate_off
+ dummy_d_253 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_254;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_choose_cmd_cmd_payload_we <= 1'd0;
+ if (litedramcore_choose_cmd_cmd_valid) begin
+ litedramcore_choose_cmd_cmd_payload_we <= t_array_muxed2;
+ end
+// synthesis translate_off
+ dummy_d_254 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_255;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine0_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd0))) begin
+ litedramcore_bankmachine0_cmd_ready <= 1'd1;
+ end
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd0))) begin
+ litedramcore_bankmachine0_cmd_ready <= 1'd1;
+ end
+// synthesis translate_off
+ dummy_d_255 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_256;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine1_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd1))) begin
+ litedramcore_bankmachine1_cmd_ready <= 1'd1;
+ end
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd1))) begin
+ litedramcore_bankmachine1_cmd_ready <= 1'd1;
+ end
+// synthesis translate_off
+ dummy_d_256 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_257;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine2_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd2))) begin
+ litedramcore_bankmachine2_cmd_ready <= 1'd1;
+ end
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd2))) begin
+ litedramcore_bankmachine2_cmd_ready <= 1'd1;
+ end
// synthesis translate_off
dummy_d_257 = dummy_s;
// synthesis translate_on
reg dummy_d_258;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_refresh_gnt <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine3_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd3))) begin
+ litedramcore_bankmachine3_cmd_ready <= 1'd1;
+ end
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd3))) begin
+ litedramcore_bankmachine3_cmd_ready <= 1'd1;
+ end
+// synthesis translate_off
+ dummy_d_258 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_259;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine4_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd4))) begin
+ litedramcore_bankmachine4_cmd_ready <= 1'd1;
+ end
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd4))) begin
+ litedramcore_bankmachine4_cmd_ready <= 1'd1;
+ end
+// synthesis translate_off
+ dummy_d_259 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_260;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine5_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd5))) begin
+ litedramcore_bankmachine5_cmd_ready <= 1'd1;
+ end
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd5))) begin
+ litedramcore_bankmachine5_cmd_ready <= 1'd1;
+ end
+// synthesis translate_off
+ dummy_d_260 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_261;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine6_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd6))) begin
+ litedramcore_bankmachine6_cmd_ready <= 1'd1;
+ end
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd6))) begin
+ litedramcore_bankmachine6_cmd_ready <= 1'd1;
+ end
+// synthesis translate_off
+ dummy_d_261 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_262;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_bankmachine7_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd7))) begin
+ litedramcore_bankmachine7_cmd_ready <= 1'd1;
+ end
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd7))) begin
+ litedramcore_bankmachine7_cmd_ready <= 1'd1;
+ end
+// synthesis translate_off
+ dummy_d_262 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_choose_cmd_ce = (litedramcore_choose_cmd_cmd_ready | (~litedramcore_choose_cmd_cmd_valid));
+
+// synthesis translate_off
+reg dummy_d_263;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_choose_req_valids <= 8'd0;
+ litedramcore_choose_req_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+// synthesis translate_off
+ dummy_d_263 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_choose_req_request = litedramcore_choose_req_valids;
+assign litedramcore_choose_req_cmd_valid = rhs_array_muxed6;
+assign litedramcore_choose_req_cmd_payload_a = rhs_array_muxed7;
+assign litedramcore_choose_req_cmd_payload_ba = rhs_array_muxed8;
+assign litedramcore_choose_req_cmd_payload_is_read = rhs_array_muxed9;
+assign litedramcore_choose_req_cmd_payload_is_write = rhs_array_muxed10;
+assign litedramcore_choose_req_cmd_payload_is_cmd = rhs_array_muxed11;
+
+// synthesis translate_off
+reg dummy_d_264;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_choose_req_cmd_payload_cas <= 1'd0;
+ if (litedramcore_choose_req_cmd_valid) begin
+ litedramcore_choose_req_cmd_payload_cas <= t_array_muxed3;
+ end
+// synthesis translate_off
+ dummy_d_264 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_265;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_choose_req_cmd_payload_ras <= 1'd0;
+ if (litedramcore_choose_req_cmd_valid) begin
+ litedramcore_choose_req_cmd_payload_ras <= t_array_muxed4;
+ end
+// synthesis translate_off
+ dummy_d_265 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_266;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_choose_req_cmd_payload_we <= 1'd0;
+ if (litedramcore_choose_req_cmd_valid) begin
+ litedramcore_choose_req_cmd_payload_we <= t_array_muxed5;
+ end
+// synthesis translate_off
+ dummy_d_266 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_choose_req_ce = (litedramcore_choose_req_cmd_ready | (~litedramcore_choose_req_cmd_valid));
+assign litedramcore_dfi_p0_reset_n = 1'd1;
+assign litedramcore_dfi_p0_cke = {1{litedramcore_steerer0}};
+assign litedramcore_dfi_p0_odt = {1{litedramcore_steerer1}};
+assign litedramcore_dfi_p1_reset_n = 1'd1;
+assign litedramcore_dfi_p1_cke = {1{litedramcore_steerer2}};
+assign litedramcore_dfi_p1_odt = {1{litedramcore_steerer3}};
+assign litedramcore_dfi_p2_reset_n = 1'd1;
+assign litedramcore_dfi_p2_cke = {1{litedramcore_steerer4}};
+assign litedramcore_dfi_p2_odt = {1{litedramcore_steerer5}};
+assign litedramcore_dfi_p3_reset_n = 1'd1;
+assign litedramcore_dfi_p3_cke = {1{litedramcore_steerer6}};
+assign litedramcore_dfi_p3_odt = {1{litedramcore_steerer7}};
+assign litedramcore_tfawcon_count = ((((litedramcore_tfawcon_window[0] + litedramcore_tfawcon_window[1]) + litedramcore_tfawcon_window[2]) + litedramcore_tfawcon_window[3]) + litedramcore_tfawcon_window[4]);
+
+// synthesis translate_off
+reg dummy_d_267;
+// synthesis translate_on
+always @(*) begin
+ multiplexer_next_state <= 4'd0;
+ multiplexer_next_state <= multiplexer_state;
+ case (multiplexer_state)
1'd1: begin
+ if (litedramcore_read_available) begin
+ if (((~litedramcore_write_available) | litedramcore_max_time1)) begin
+ multiplexer_next_state <= 2'd3;
+ end
+ end
+ if (litedramcore_go_to_refresh) begin
+ multiplexer_next_state <= 2'd2;
+ end
end
2'd2: begin
+ if (litedramcore_cmd_last) begin
+ multiplexer_next_state <= 1'd0;
+ end
end
2'd3: begin
+ if (litedramcore_twtrcon_ready) begin
+ multiplexer_next_state <= 1'd0;
+ end
end
3'd4: begin
- if (soc_sdram_bankmachine7_twtpcon_ready) begin
- soc_sdram_bankmachine7_refresh_gnt <= 1'd1;
- end
+ multiplexer_next_state <= 3'd5;
end
3'd5: begin
+ multiplexer_next_state <= 3'd6;
end
3'd6: begin
+ multiplexer_next_state <= 3'd7;
end
3'd7: begin
+ multiplexer_next_state <= 4'd8;
end
4'd8: begin
+ multiplexer_next_state <= 4'd9;
+ end
+ 4'd9: begin
+ multiplexer_next_state <= 4'd10;
+ end
+ 4'd10: begin
+ multiplexer_next_state <= 1'd1;
end
default: begin
+ if (litedramcore_write_available) begin
+ if (((~litedramcore_read_available) | litedramcore_max_time0)) begin
+ multiplexer_next_state <= 3'd4;
+ end
+ end
+ if (litedramcore_go_to_refresh) begin
+ multiplexer_next_state <= 2'd2;
+ end
end
endcase
// synthesis translate_off
- dummy_d_258 = dummy_s;
+ dummy_d_267 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_259;
+reg dummy_d_268;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_valid <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_en0 <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
- if ((soc_sdram_bankmachine7_twtpcon_ready & soc_sdram_bankmachine7_trascon_ready)) begin
- soc_sdram_bankmachine7_cmd_valid <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine7_trccon_ready) begin
- soc_sdram_bankmachine7_cmd_valid <= 1'd1;
- end
end
3'd4: begin
end
end
4'd8: begin
end
+ 4'd9: begin
+ end
+ 4'd10: begin
+ end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- soc_sdram_bankmachine7_cmd_valid <= 1'd1;
- end else begin
- end
- end else begin
- end
- end
- end
+ litedramcore_en0 <= 1'd1;
end
endcase
// synthesis translate_off
- dummy_d_259 = dummy_s;
+ dummy_d_268 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_260;
+reg dummy_d_269;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_row_open <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_cmd_ready <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
end
2'd2: begin
+ litedramcore_cmd_ready <= 1'd1;
end
2'd3: begin
- if (soc_sdram_bankmachine7_trccon_ready) begin
- soc_sdram_bankmachine7_row_open <= 1'd1;
- end
end
3'd4: begin
end
end
4'd8: begin
end
+ 4'd9: begin
+ end
+ 4'd10: begin
+ end
default: begin
end
endcase
// synthesis translate_off
- dummy_d_260 = dummy_s;
+ dummy_d_269 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_261;
+reg dummy_d_270;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_row_close <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_choose_cmd_cmd_ready <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
- soc_sdram_bankmachine7_row_close <= 1'd1;
+ if (1'd0) begin
+ end else begin
+ litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed);
+ end
end
2'd2: begin
- soc_sdram_bankmachine7_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- soc_sdram_bankmachine7_row_close <= 1'd1;
end
3'd5: begin
end
end
4'd8: begin
end
+ 4'd9: begin
+ end
+ 4'd10: begin
+ end
default: begin
+ if (1'd0) begin
+ end else begin
+ litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed);
+ end
end
endcase
// synthesis translate_off
- dummy_d_261 = dummy_s;
+ dummy_d_270 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_262;
+reg dummy_d_271;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_choose_req_want_reads <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
end
2'd2: begin
end
4'd8: begin
end
+ 4'd9: begin
+ end
+ 4'd10: begin
+ end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- soc_sdram_bankmachine7_cmd_payload_cas <= 1'd1;
- end else begin
- end
- end else begin
- end
- end
- end
+ litedramcore_choose_req_want_reads <= 1'd1;
end
endcase
// synthesis translate_off
- dummy_d_262 = dummy_s;
+ dummy_d_271 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_263;
+reg dummy_d_272;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_choose_req_want_writes <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
- if ((soc_sdram_bankmachine7_twtpcon_ready & soc_sdram_bankmachine7_trascon_ready)) begin
- soc_sdram_bankmachine7_cmd_payload_ras <= 1'd1;
- end
+ litedramcore_choose_req_want_writes <= 1'd1;
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine7_trccon_ready) begin
- soc_sdram_bankmachine7_cmd_payload_ras <= 1'd1;
- end
end
3'd4: begin
end
end
4'd8: begin
end
+ 4'd9: begin
+ end
+ 4'd10: begin
+ end
default: begin
end
endcase
// synthesis translate_off
- dummy_d_263 = dummy_s;
+ dummy_d_272 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_trrdcon_valid = ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & ((soc_sdram_choose_cmd_cmd_payload_ras & (~soc_sdram_choose_cmd_cmd_payload_cas)) & (~soc_sdram_choose_cmd_cmd_payload_we)));
-assign soc_sdram_tfawcon_valid = ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & ((soc_sdram_choose_cmd_cmd_payload_ras & (~soc_sdram_choose_cmd_cmd_payload_cas)) & (~soc_sdram_choose_cmd_cmd_payload_we)));
-assign soc_sdram_ras_allowed = (soc_sdram_trrdcon_ready & soc_sdram_tfawcon_ready);
-assign soc_sdram_tccdcon_valid = ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_cmd_payload_is_write | soc_sdram_choose_req_cmd_payload_is_read));
-assign soc_sdram_cas_allowed = soc_sdram_tccdcon_ready;
-assign soc_sdram_twtrcon_valid = ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_write);
-assign soc_sdram_read_available = ((((((((soc_sdram_bankmachine0_cmd_valid & soc_sdram_bankmachine0_cmd_payload_is_read) | (soc_sdram_bankmachine1_cmd_valid & soc_sdram_bankmachine1_cmd_payload_is_read)) | (soc_sdram_bankmachine2_cmd_valid & soc_sdram_bankmachine2_cmd_payload_is_read)) | (soc_sdram_bankmachine3_cmd_valid & soc_sdram_bankmachine3_cmd_payload_is_read)) | (soc_sdram_bankmachine4_cmd_valid & soc_sdram_bankmachine4_cmd_payload_is_read)) | (soc_sdram_bankmachine5_cmd_valid & soc_sdram_bankmachine5_cmd_payload_is_read)) | (soc_sdram_bankmachine6_cmd_valid & soc_sdram_bankmachine6_cmd_payload_is_read)) | (soc_sdram_bankmachine7_cmd_valid & soc_sdram_bankmachine7_cmd_payload_is_read));
-assign soc_sdram_write_available = ((((((((soc_sdram_bankmachine0_cmd_valid & soc_sdram_bankmachine0_cmd_payload_is_write) | (soc_sdram_bankmachine1_cmd_valid & soc_sdram_bankmachine1_cmd_payload_is_write)) | (soc_sdram_bankmachine2_cmd_valid & soc_sdram_bankmachine2_cmd_payload_is_write)) | (soc_sdram_bankmachine3_cmd_valid & soc_sdram_bankmachine3_cmd_payload_is_write)) | (soc_sdram_bankmachine4_cmd_valid & soc_sdram_bankmachine4_cmd_payload_is_write)) | (soc_sdram_bankmachine5_cmd_valid & soc_sdram_bankmachine5_cmd_payload_is_write)) | (soc_sdram_bankmachine6_cmd_valid & soc_sdram_bankmachine6_cmd_payload_is_write)) | (soc_sdram_bankmachine7_cmd_valid & soc_sdram_bankmachine7_cmd_payload_is_write));
-assign soc_sdram_max_time0 = (soc_sdram_time0 == 1'd0);
-assign soc_sdram_max_time1 = (soc_sdram_time1 == 1'd0);
-assign soc_sdram_bankmachine0_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine1_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine2_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine3_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine4_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine5_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine6_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine7_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_go_to_refresh = (((((((soc_sdram_bankmachine0_refresh_gnt & soc_sdram_bankmachine1_refresh_gnt) & soc_sdram_bankmachine2_refresh_gnt) & soc_sdram_bankmachine3_refresh_gnt) & soc_sdram_bankmachine4_refresh_gnt) & soc_sdram_bankmachine5_refresh_gnt) & soc_sdram_bankmachine6_refresh_gnt) & soc_sdram_bankmachine7_refresh_gnt);
-assign soc_sdram_interface_rdata = {soc_sdram_dfi_p3_rddata, soc_sdram_dfi_p2_rddata, soc_sdram_dfi_p1_rddata, soc_sdram_dfi_p0_rddata};
-assign {soc_sdram_dfi_p3_wrdata, soc_sdram_dfi_p2_wrdata, soc_sdram_dfi_p1_wrdata, soc_sdram_dfi_p0_wrdata} = soc_sdram_interface_wdata;
-assign {soc_sdram_dfi_p3_wrdata, soc_sdram_dfi_p2_wrdata, soc_sdram_dfi_p1_wrdata, soc_sdram_dfi_p0_wrdata} = soc_sdram_interface_wdata;
-assign {soc_sdram_dfi_p3_wrdata, soc_sdram_dfi_p2_wrdata, soc_sdram_dfi_p1_wrdata, soc_sdram_dfi_p0_wrdata} = soc_sdram_interface_wdata;
-assign {soc_sdram_dfi_p3_wrdata, soc_sdram_dfi_p2_wrdata, soc_sdram_dfi_p1_wrdata, soc_sdram_dfi_p0_wrdata} = soc_sdram_interface_wdata;
-assign {soc_sdram_dfi_p3_wrdata_mask, soc_sdram_dfi_p2_wrdata_mask, soc_sdram_dfi_p1_wrdata_mask, soc_sdram_dfi_p0_wrdata_mask} = (~soc_sdram_interface_wdata_we);
-assign {soc_sdram_dfi_p3_wrdata_mask, soc_sdram_dfi_p2_wrdata_mask, soc_sdram_dfi_p1_wrdata_mask, soc_sdram_dfi_p0_wrdata_mask} = (~soc_sdram_interface_wdata_we);
-assign {soc_sdram_dfi_p3_wrdata_mask, soc_sdram_dfi_p2_wrdata_mask, soc_sdram_dfi_p1_wrdata_mask, soc_sdram_dfi_p0_wrdata_mask} = (~soc_sdram_interface_wdata_we);
-assign {soc_sdram_dfi_p3_wrdata_mask, soc_sdram_dfi_p2_wrdata_mask, soc_sdram_dfi_p1_wrdata_mask, soc_sdram_dfi_p0_wrdata_mask} = (~soc_sdram_interface_wdata_we);
// synthesis translate_off
-reg dummy_d_264;
+reg dummy_d_273;
// synthesis translate_on
always @(*) begin
- soc_sdram_choose_cmd_valids <= 8'd0;
- soc_sdram_choose_cmd_valids[0] <= (soc_sdram_bankmachine0_cmd_valid & (((soc_sdram_bankmachine0_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine0_cmd_payload_ras & (~soc_sdram_bankmachine0_cmd_payload_cas)) & (~soc_sdram_bankmachine0_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine0_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine0_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[1] <= (soc_sdram_bankmachine1_cmd_valid & (((soc_sdram_bankmachine1_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine1_cmd_payload_ras & (~soc_sdram_bankmachine1_cmd_payload_cas)) & (~soc_sdram_bankmachine1_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine1_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine1_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[2] <= (soc_sdram_bankmachine2_cmd_valid & (((soc_sdram_bankmachine2_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine2_cmd_payload_ras & (~soc_sdram_bankmachine2_cmd_payload_cas)) & (~soc_sdram_bankmachine2_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine2_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine2_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[3] <= (soc_sdram_bankmachine3_cmd_valid & (((soc_sdram_bankmachine3_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine3_cmd_payload_ras & (~soc_sdram_bankmachine3_cmd_payload_cas)) & (~soc_sdram_bankmachine3_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine3_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine3_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[4] <= (soc_sdram_bankmachine4_cmd_valid & (((soc_sdram_bankmachine4_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine4_cmd_payload_ras & (~soc_sdram_bankmachine4_cmd_payload_cas)) & (~soc_sdram_bankmachine4_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine4_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine4_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[5] <= (soc_sdram_bankmachine5_cmd_valid & (((soc_sdram_bankmachine5_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine5_cmd_payload_ras & (~soc_sdram_bankmachine5_cmd_payload_cas)) & (~soc_sdram_bankmachine5_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine5_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine5_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[6] <= (soc_sdram_bankmachine6_cmd_valid & (((soc_sdram_bankmachine6_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine6_cmd_payload_ras & (~soc_sdram_bankmachine6_cmd_payload_cas)) & (~soc_sdram_bankmachine6_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine6_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine6_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[7] <= (soc_sdram_bankmachine7_cmd_valid & (((soc_sdram_bankmachine7_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine7_cmd_payload_ras & (~soc_sdram_bankmachine7_cmd_payload_cas)) & (~soc_sdram_bankmachine7_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine7_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine7_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
-// synthesis translate_off
- dummy_d_264 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_choose_cmd_request = soc_sdram_choose_cmd_valids;
-assign soc_sdram_choose_cmd_cmd_valid = vns_rhs_array_muxed0;
-assign soc_sdram_choose_cmd_cmd_payload_a = vns_rhs_array_muxed1;
-assign soc_sdram_choose_cmd_cmd_payload_ba = vns_rhs_array_muxed2;
-assign soc_sdram_choose_cmd_cmd_payload_is_read = vns_rhs_array_muxed3;
-assign soc_sdram_choose_cmd_cmd_payload_is_write = vns_rhs_array_muxed4;
-assign soc_sdram_choose_cmd_cmd_payload_is_cmd = vns_rhs_array_muxed5;
-
-// synthesis translate_off
-reg dummy_d_265;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_cmd_cmd_payload_cas <= 1'd0;
- if (soc_sdram_choose_cmd_cmd_valid) begin
- soc_sdram_choose_cmd_cmd_payload_cas <= vns_t_array_muxed0;
- end
-// synthesis translate_off
- dummy_d_265 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_266;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_cmd_cmd_payload_ras <= 1'd0;
- if (soc_sdram_choose_cmd_cmd_valid) begin
- soc_sdram_choose_cmd_cmd_payload_ras <= vns_t_array_muxed1;
- end
-// synthesis translate_off
- dummy_d_266 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_267;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_cmd_cmd_payload_we <= 1'd0;
- if (soc_sdram_choose_cmd_cmd_valid) begin
- soc_sdram_choose_cmd_cmd_payload_we <= vns_t_array_muxed2;
- end
-// synthesis translate_off
- dummy_d_267 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_268;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine0_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 1'd0))) begin
- soc_sdram_bankmachine0_cmd_ready <= 1'd1;
- end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 1'd0))) begin
- soc_sdram_bankmachine0_cmd_ready <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_268 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_269;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine1_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 1'd1))) begin
- soc_sdram_bankmachine1_cmd_ready <= 1'd1;
- end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 1'd1))) begin
- soc_sdram_bankmachine1_cmd_ready <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_269 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_270;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine2_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 2'd2))) begin
- soc_sdram_bankmachine2_cmd_ready <= 1'd1;
- end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 2'd2))) begin
- soc_sdram_bankmachine2_cmd_ready <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_270 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_271;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine3_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 2'd3))) begin
- soc_sdram_bankmachine3_cmd_ready <= 1'd1;
- end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 2'd3))) begin
- soc_sdram_bankmachine3_cmd_ready <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_271 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_272;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine4_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 3'd4))) begin
- soc_sdram_bankmachine4_cmd_ready <= 1'd1;
- end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 3'd4))) begin
- soc_sdram_bankmachine4_cmd_ready <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_272 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_273;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine5_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 3'd5))) begin
- soc_sdram_bankmachine5_cmd_ready <= 1'd1;
- end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 3'd5))) begin
- soc_sdram_bankmachine5_cmd_ready <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_273 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_274;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine6_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 3'd6))) begin
- soc_sdram_bankmachine6_cmd_ready <= 1'd1;
- end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 3'd6))) begin
- soc_sdram_bankmachine6_cmd_ready <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_274 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_275;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine7_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 3'd7))) begin
- soc_sdram_bankmachine7_cmd_ready <= 1'd1;
- end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 3'd7))) begin
- soc_sdram_bankmachine7_cmd_ready <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_275 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_choose_cmd_ce = (soc_sdram_choose_cmd_cmd_ready | (~soc_sdram_choose_cmd_cmd_valid));
-
-// synthesis translate_off
-reg dummy_d_276;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_req_valids <= 8'd0;
- soc_sdram_choose_req_valids[0] <= (soc_sdram_bankmachine0_cmd_valid & (((soc_sdram_bankmachine0_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine0_cmd_payload_ras & (~soc_sdram_bankmachine0_cmd_payload_cas)) & (~soc_sdram_bankmachine0_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine0_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine0_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[1] <= (soc_sdram_bankmachine1_cmd_valid & (((soc_sdram_bankmachine1_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine1_cmd_payload_ras & (~soc_sdram_bankmachine1_cmd_payload_cas)) & (~soc_sdram_bankmachine1_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine1_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine1_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[2] <= (soc_sdram_bankmachine2_cmd_valid & (((soc_sdram_bankmachine2_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine2_cmd_payload_ras & (~soc_sdram_bankmachine2_cmd_payload_cas)) & (~soc_sdram_bankmachine2_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine2_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine2_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[3] <= (soc_sdram_bankmachine3_cmd_valid & (((soc_sdram_bankmachine3_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine3_cmd_payload_ras & (~soc_sdram_bankmachine3_cmd_payload_cas)) & (~soc_sdram_bankmachine3_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine3_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine3_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[4] <= (soc_sdram_bankmachine4_cmd_valid & (((soc_sdram_bankmachine4_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine4_cmd_payload_ras & (~soc_sdram_bankmachine4_cmd_payload_cas)) & (~soc_sdram_bankmachine4_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine4_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine4_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[5] <= (soc_sdram_bankmachine5_cmd_valid & (((soc_sdram_bankmachine5_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine5_cmd_payload_ras & (~soc_sdram_bankmachine5_cmd_payload_cas)) & (~soc_sdram_bankmachine5_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine5_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine5_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[6] <= (soc_sdram_bankmachine6_cmd_valid & (((soc_sdram_bankmachine6_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine6_cmd_payload_ras & (~soc_sdram_bankmachine6_cmd_payload_cas)) & (~soc_sdram_bankmachine6_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine6_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine6_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[7] <= (soc_sdram_bankmachine7_cmd_valid & (((soc_sdram_bankmachine7_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine7_cmd_payload_ras & (~soc_sdram_bankmachine7_cmd_payload_cas)) & (~soc_sdram_bankmachine7_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine7_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine7_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
-// synthesis translate_off
- dummy_d_276 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_choose_req_request = soc_sdram_choose_req_valids;
-assign soc_sdram_choose_req_cmd_valid = vns_rhs_array_muxed6;
-assign soc_sdram_choose_req_cmd_payload_a = vns_rhs_array_muxed7;
-assign soc_sdram_choose_req_cmd_payload_ba = vns_rhs_array_muxed8;
-assign soc_sdram_choose_req_cmd_payload_is_read = vns_rhs_array_muxed9;
-assign soc_sdram_choose_req_cmd_payload_is_write = vns_rhs_array_muxed10;
-assign soc_sdram_choose_req_cmd_payload_is_cmd = vns_rhs_array_muxed11;
-
-// synthesis translate_off
-reg dummy_d_277;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_req_cmd_payload_cas <= 1'd0;
- if (soc_sdram_choose_req_cmd_valid) begin
- soc_sdram_choose_req_cmd_payload_cas <= vns_t_array_muxed3;
- end
-// synthesis translate_off
- dummy_d_277 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_278;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_req_cmd_payload_ras <= 1'd0;
- if (soc_sdram_choose_req_cmd_valid) begin
- soc_sdram_choose_req_cmd_payload_ras <= vns_t_array_muxed4;
- end
-// synthesis translate_off
- dummy_d_278 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_279;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_req_cmd_payload_we <= 1'd0;
- if (soc_sdram_choose_req_cmd_valid) begin
- soc_sdram_choose_req_cmd_payload_we <= vns_t_array_muxed5;
- end
-// synthesis translate_off
- dummy_d_279 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_choose_req_ce = (soc_sdram_choose_req_cmd_ready | (~soc_sdram_choose_req_cmd_valid));
-assign soc_sdram_dfi_p0_reset_n = 1'd1;
-assign soc_sdram_dfi_p0_cke = {1{soc_sdram_steerer0}};
-assign soc_sdram_dfi_p0_odt = {1{soc_sdram_steerer1}};
-assign soc_sdram_dfi_p1_reset_n = 1'd1;
-assign soc_sdram_dfi_p1_cke = {1{soc_sdram_steerer2}};
-assign soc_sdram_dfi_p1_odt = {1{soc_sdram_steerer3}};
-assign soc_sdram_dfi_p2_reset_n = 1'd1;
-assign soc_sdram_dfi_p2_cke = {1{soc_sdram_steerer4}};
-assign soc_sdram_dfi_p2_odt = {1{soc_sdram_steerer5}};
-assign soc_sdram_dfi_p3_reset_n = 1'd1;
-assign soc_sdram_dfi_p3_cke = {1{soc_sdram_steerer6}};
-assign soc_sdram_dfi_p3_odt = {1{soc_sdram_steerer7}};
-assign soc_sdram_tfawcon_count = ((((soc_sdram_tfawcon_window[0] + soc_sdram_tfawcon_window[1]) + soc_sdram_tfawcon_window[2]) + soc_sdram_tfawcon_window[3]) + soc_sdram_tfawcon_window[4]);
-
-// synthesis translate_off
-reg dummy_d_280;
-// synthesis translate_on
-always @(*) begin
- vns_multiplexer_next_state <= 4'd0;
- vns_multiplexer_next_state <= vns_multiplexer_state;
- case (vns_multiplexer_state)
+ litedramcore_choose_req_cmd_ready <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
- if (soc_sdram_read_available) begin
- if (((~soc_sdram_write_available) | soc_sdram_max_time1)) begin
- vns_multiplexer_next_state <= 2'd3;
- end
- end
- if (soc_sdram_go_to_refresh) begin
- vns_multiplexer_next_state <= 2'd2;
- end
- end
- 2'd2: begin
- if (soc_sdram_cmd_last) begin
- vns_multiplexer_next_state <= 1'd0;
- end
- end
- 2'd3: begin
- if (soc_sdram_twtrcon_ready) begin
- vns_multiplexer_next_state <= 1'd0;
- end
- end
- 3'd4: begin
- vns_multiplexer_next_state <= 3'd5;
- end
- 3'd5: begin
- vns_multiplexer_next_state <= 3'd6;
- end
- 3'd6: begin
- vns_multiplexer_next_state <= 3'd7;
- end
- 3'd7: begin
- vns_multiplexer_next_state <= 4'd8;
- end
- 4'd8: begin
- vns_multiplexer_next_state <= 4'd9;
- end
- 4'd9: begin
- vns_multiplexer_next_state <= 4'd10;
- end
- 4'd10: begin
- vns_multiplexer_next_state <= 1'd1;
- end
- default: begin
- if (soc_sdram_write_available) begin
- if (((~soc_sdram_read_available) | soc_sdram_max_time0)) begin
- vns_multiplexer_next_state <= 3'd4;
- end
- end
- if (soc_sdram_go_to_refresh) begin
- vns_multiplexer_next_state <= 2'd2;
+ if (1'd0) begin
+ litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed));
+ end else begin
+ litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed;
end
end
- endcase
-// synthesis translate_off
- dummy_d_280 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_281;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_steerer_sel0 <= 2'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- soc_sdram_steerer_sel0 <= 1'd0;
- end
- 2'd2: begin
- soc_sdram_steerer_sel0 <= 2'd3;
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- soc_sdram_steerer_sel0 <= 1'd0;
- end
- endcase
-// synthesis translate_off
- dummy_d_281 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_282;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_steerer_sel1 <= 2'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- soc_sdram_steerer_sel1 <= 1'd0;
- end
2'd2: begin
end
2'd3: begin
4'd9: begin
end
4'd10: begin
- end
- default: begin
- soc_sdram_steerer_sel1 <= 1'd1;
- end
- endcase
-// synthesis translate_off
- dummy_d_282 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_283;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_steerer_sel2 <= 2'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- soc_sdram_steerer_sel2 <= 1'd1;
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- soc_sdram_steerer_sel2 <= 2'd2;
- end
- endcase
-// synthesis translate_off
- dummy_d_283 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_284;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_cmd_want_activates <= 1'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- if (1'd0) begin
- end else begin
- soc_sdram_choose_cmd_want_activates <= soc_sdram_ras_allowed;
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- if (1'd0) begin
- end else begin
- soc_sdram_choose_cmd_want_activates <= soc_sdram_ras_allowed;
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_284 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_285;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_steerer_sel3 <= 2'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- soc_sdram_steerer_sel3 <= 2'd2;
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- soc_sdram_steerer_sel3 <= 1'd0;
- end
- endcase
-// synthesis translate_off
- dummy_d_285 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_286;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_en0 <= 1'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- soc_sdram_en0 <= 1'd1;
- end
- endcase
-// synthesis translate_off
- dummy_d_286 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_287;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_cmd_ready <= 1'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- end
- 2'd2: begin
- soc_sdram_cmd_ready <= 1'd1;
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_287 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_288;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_cmd_cmd_ready <= 1'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- if (1'd0) begin
- end else begin
- soc_sdram_choose_cmd_cmd_ready <= ((~((soc_sdram_choose_cmd_cmd_payload_ras & (~soc_sdram_choose_cmd_cmd_payload_cas)) & (~soc_sdram_choose_cmd_cmd_payload_we))) | soc_sdram_ras_allowed);
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- if (1'd0) begin
- end else begin
- soc_sdram_choose_cmd_cmd_ready <= ((~((soc_sdram_choose_cmd_cmd_payload_ras & (~soc_sdram_choose_cmd_cmd_payload_cas)) & (~soc_sdram_choose_cmd_cmd_payload_we))) | soc_sdram_ras_allowed);
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_288 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_289;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_req_want_reads <= 1'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- soc_sdram_choose_req_want_reads <= 1'd1;
- end
- endcase
-// synthesis translate_off
- dummy_d_289 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_290;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_req_want_writes <= 1'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- soc_sdram_choose_req_want_writes <= 1'd1;
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_290 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_291;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_en1 <= 1'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- soc_sdram_en1 <= 1'd1;
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_291 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_292;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_req_cmd_ready <= 1'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- if (1'd0) begin
- soc_sdram_choose_req_cmd_ready <= (soc_sdram_cas_allowed & ((~((soc_sdram_choose_req_cmd_payload_ras & (~soc_sdram_choose_req_cmd_payload_cas)) & (~soc_sdram_choose_req_cmd_payload_we))) | soc_sdram_ras_allowed));
- end else begin
- soc_sdram_choose_req_cmd_ready <= soc_sdram_cas_allowed;
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- if (1'd0) begin
- soc_sdram_choose_req_cmd_ready <= (soc_sdram_cas_allowed & ((~((soc_sdram_choose_req_cmd_payload_ras & (~soc_sdram_choose_req_cmd_payload_cas)) & (~soc_sdram_choose_req_cmd_payload_we))) | soc_sdram_ras_allowed));
- end else begin
- soc_sdram_choose_req_cmd_ready <= soc_sdram_cas_allowed;
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_292 = dummy_s;
-// synthesis translate_on
-end
-assign vns_roundrobin0_request = {(((soc_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked1 | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked0 | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin0_ce = ((~soc_sdram_interface_bank0_valid) & (~soc_sdram_interface_bank0_lock));
-assign soc_sdram_interface_bank0_addr = vns_rhs_array_muxed12;
-assign soc_sdram_interface_bank0_we = vns_rhs_array_muxed13;
-assign soc_sdram_interface_bank0_valid = vns_rhs_array_muxed14;
-assign vns_roundrobin1_request = {(((soc_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked3 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked2 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin1_ce = ((~soc_sdram_interface_bank1_valid) & (~soc_sdram_interface_bank1_lock));
-assign soc_sdram_interface_bank1_addr = vns_rhs_array_muxed15;
-assign soc_sdram_interface_bank1_we = vns_rhs_array_muxed16;
-assign soc_sdram_interface_bank1_valid = vns_rhs_array_muxed17;
-assign vns_roundrobin2_request = {(((soc_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked5 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked4 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin2_ce = ((~soc_sdram_interface_bank2_valid) & (~soc_sdram_interface_bank2_lock));
-assign soc_sdram_interface_bank2_addr = vns_rhs_array_muxed18;
-assign soc_sdram_interface_bank2_we = vns_rhs_array_muxed19;
-assign soc_sdram_interface_bank2_valid = vns_rhs_array_muxed20;
-assign vns_roundrobin3_request = {(((soc_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked7 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked6 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin3_ce = ((~soc_sdram_interface_bank3_valid) & (~soc_sdram_interface_bank3_lock));
-assign soc_sdram_interface_bank3_addr = vns_rhs_array_muxed21;
-assign soc_sdram_interface_bank3_we = vns_rhs_array_muxed22;
-assign soc_sdram_interface_bank3_valid = vns_rhs_array_muxed23;
-assign vns_roundrobin4_request = {(((soc_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked9 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked8 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin4_ce = ((~soc_sdram_interface_bank4_valid) & (~soc_sdram_interface_bank4_lock));
-assign soc_sdram_interface_bank4_addr = vns_rhs_array_muxed24;
-assign soc_sdram_interface_bank4_we = vns_rhs_array_muxed25;
-assign soc_sdram_interface_bank4_valid = vns_rhs_array_muxed26;
-assign vns_roundrobin5_request = {(((soc_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked11 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked10 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin5_ce = ((~soc_sdram_interface_bank5_valid) & (~soc_sdram_interface_bank5_lock));
-assign soc_sdram_interface_bank5_addr = vns_rhs_array_muxed27;
-assign soc_sdram_interface_bank5_we = vns_rhs_array_muxed28;
-assign soc_sdram_interface_bank5_valid = vns_rhs_array_muxed29;
-assign vns_roundrobin6_request = {(((soc_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked13 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked12 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin6_ce = ((~soc_sdram_interface_bank6_valid) & (~soc_sdram_interface_bank6_lock));
-assign soc_sdram_interface_bank6_addr = vns_rhs_array_muxed30;
-assign soc_sdram_interface_bank6_we = vns_rhs_array_muxed31;
-assign soc_sdram_interface_bank6_valid = vns_rhs_array_muxed32;
-assign vns_roundrobin7_request = {(((soc_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked15 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked14 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin7_ce = ((~soc_sdram_interface_bank7_valid) & (~soc_sdram_interface_bank7_lock));
-assign soc_sdram_interface_bank7_addr = vns_rhs_array_muxed33;
-assign soc_sdram_interface_bank7_we = vns_rhs_array_muxed34;
-assign soc_sdram_interface_bank7_valid = vns_rhs_array_muxed35;
-assign soc_port_cmd_ready = ((((((((1'd0 | (((vns_roundrobin0_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked0 | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank0_ready)) | (((vns_roundrobin1_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked2 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank1_ready)) | (((vns_roundrobin2_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked4 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank2_ready)) | (((vns_roundrobin3_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked6 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank3_ready)) | (((vns_roundrobin4_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked8 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank4_ready)) | (((vns_roundrobin5_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked10 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank5_ready)) | (((vns_roundrobin6_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked12 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank6_ready)) | (((vns_roundrobin7_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked14 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0)))))) & soc_sdram_interface_bank7_ready));
-assign soc_cmd_ready = ((((((((1'd0 | (((vns_roundrobin0_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked1 | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank0_ready)) | (((vns_roundrobin1_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked3 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank1_ready)) | (((vns_roundrobin2_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked5 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank2_ready)) | (((vns_roundrobin3_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked7 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank3_ready)) | (((vns_roundrobin4_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked9 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank4_ready)) | (((vns_roundrobin5_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked11 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank5_ready)) | (((vns_roundrobin6_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked13 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank6_ready)) | (((vns_roundrobin7_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked15 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1)))))) & soc_sdram_interface_bank7_ready));
-assign soc_port_wdata_ready = vns_new_master_wdata_ready2;
-assign soc_wdata_ready = vns_new_master_wdata_ready5;
-assign soc_port_rdata_valid = vns_new_master_rdata_valid8;
-assign soc_rdata_valid = vns_new_master_rdata_valid17;
-
-// synthesis translate_off
-reg dummy_d_293;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_interface_wdata <= 128'd0;
- case ({vns_new_master_wdata_ready5, vns_new_master_wdata_ready2})
- 1'd1: begin
- soc_sdram_interface_wdata <= soc_port_wdata_payload_data;
- end
- 2'd2: begin
- soc_sdram_interface_wdata <= soc_wdata_payload_data;
- end
- default: begin
- soc_sdram_interface_wdata <= 1'd0;
- end
- endcase
-// synthesis translate_off
- dummy_d_293 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_294;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_interface_wdata_we <= 16'd0;
- case ({vns_new_master_wdata_ready5, vns_new_master_wdata_ready2})
- 1'd1: begin
- soc_sdram_interface_wdata_we <= soc_port_wdata_payload_we;
- end
- 2'd2: begin
- soc_sdram_interface_wdata_we <= soc_wdata_payload_we;
- end
- default: begin
- soc_sdram_interface_wdata_we <= 1'd0;
- end
- endcase
-// synthesis translate_off
- dummy_d_294 = dummy_s;
-// synthesis translate_on
-end
-assign soc_port_rdata_payload_data = soc_sdram_interface_rdata;
-assign soc_rdata_payload_data = soc_sdram_interface_rdata;
-assign soc_address_d = soc_wb_sdram_adr;
-assign soc_counter_offset = soc_address_q;
-assign soc_counter_done = ((soc_counter + soc_counter_offset) == 2'd3);
-assign soc_end_of_burst = ((~soc_wb_sdram_cyc) | (((soc_wb_sdram_stb & soc_wb_sdram_cyc) & soc_wb_sdram_ack) & ((soc_wb_sdram_cti == 3'd7) | soc_counter_done)));
-assign soc_need_refill_reset = soc_end_of_burst;
-assign soc_need_refill_d = 1'd0;
-assign soc_litedram_wb_cti = 3'd7;
-assign soc_litedram_wb_adr = soc_address_q[29:2];
-assign soc_cached_sels_reset0 = soc_counter_reset;
-
-// synthesis translate_off
-reg dummy_d_295;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_flipflop0_d <= 32'd0;
- if (soc_write) begin
- soc_cached_datas_flipflop0_d <= soc_wb_sdram_dat_w;
- end else begin
- soc_cached_datas_flipflop0_d <= soc_litedram_wb_dat_r[31:0];
- end
-// synthesis translate_off
- dummy_d_295 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_flipflop0_d = soc_wb_sdram_sel;
-
-// synthesis translate_off
-reg dummy_d_296;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_ce0 <= 1'd0;
- if (((soc_write & soc_write_sel0) | soc_refill)) begin
- soc_cached_datas_ce0 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_296 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_297;
-// synthesis translate_on
-always @(*) begin
- soc_cached_sels_ce0 <= 1'd0;
- if (((soc_write & soc_write_sel0) | soc_refill)) begin
- soc_cached_sels_ce0 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_297 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_reset1 = soc_counter_reset;
-
-// synthesis translate_off
-reg dummy_d_298;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_flipflop1_d <= 32'd0;
- if (soc_write) begin
- soc_cached_datas_flipflop1_d <= soc_wb_sdram_dat_w;
- end else begin
- soc_cached_datas_flipflop1_d <= soc_litedram_wb_dat_r[63:32];
- end
-// synthesis translate_off
- dummy_d_298 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_flipflop1_d = soc_wb_sdram_sel;
-
-// synthesis translate_off
-reg dummy_d_299;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_ce1 <= 1'd0;
- if (((soc_write & soc_write_sel1) | soc_refill)) begin
- soc_cached_datas_ce1 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_299 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_300;
-// synthesis translate_on
-always @(*) begin
- soc_cached_sels_ce1 <= 1'd0;
- if (((soc_write & soc_write_sel1) | soc_refill)) begin
- soc_cached_sels_ce1 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_300 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_reset2 = soc_counter_reset;
-
-// synthesis translate_off
-reg dummy_d_301;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_flipflop2_d <= 32'd0;
- if (soc_write) begin
- soc_cached_datas_flipflop2_d <= soc_wb_sdram_dat_w;
- end else begin
- soc_cached_datas_flipflop2_d <= soc_litedram_wb_dat_r[95:64];
- end
-// synthesis translate_off
- dummy_d_301 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_flipflop2_d = soc_wb_sdram_sel;
-
-// synthesis translate_off
-reg dummy_d_302;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_ce2 <= 1'd0;
- if (((soc_write & soc_write_sel2) | soc_refill)) begin
- soc_cached_datas_ce2 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_302 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_303;
-// synthesis translate_on
-always @(*) begin
- soc_cached_sels_ce2 <= 1'd0;
- if (((soc_write & soc_write_sel2) | soc_refill)) begin
- soc_cached_sels_ce2 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_303 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_reset3 = soc_counter_reset;
-
-// synthesis translate_off
-reg dummy_d_304;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_flipflop3_d <= 32'd0;
- if (soc_write) begin
- soc_cached_datas_flipflop3_d <= soc_wb_sdram_dat_w;
- end else begin
- soc_cached_datas_flipflop3_d <= soc_litedram_wb_dat_r[127:96];
- end
-// synthesis translate_off
- dummy_d_304 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_flipflop3_d = soc_wb_sdram_sel;
-
-// synthesis translate_off
-reg dummy_d_305;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_ce3 <= 1'd0;
- if (((soc_write & soc_write_sel3) | soc_refill)) begin
- soc_cached_datas_ce3 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_305 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_306;
-// synthesis translate_on
-always @(*) begin
- soc_cached_sels_ce3 <= 1'd0;
- if (((soc_write & soc_write_sel3) | soc_refill)) begin
- soc_cached_sels_ce3 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_306 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_307;
-// synthesis translate_on
-always @(*) begin
- soc_write_sel2 <= 1'd0;
- case ((soc_counter + soc_counter_offset))
- 1'd0: begin
- end
- 1'd1: begin
- end
- 2'd2: begin
- soc_write_sel2 <= 1'd1;
- end
- 2'd3: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_307 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_308;
-// synthesis translate_on
-always @(*) begin
- soc_write_sel3 <= 1'd0;
- case ((soc_counter + soc_counter_offset))
- 1'd0: begin
- end
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- soc_write_sel3 <= 1'd1;
- end
- endcase
-// synthesis translate_off
- dummy_d_308 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_309;
-// synthesis translate_on
-always @(*) begin
- soc_write_sel0 <= 1'd0;
- case ((soc_counter + soc_counter_offset))
- 1'd0: begin
- soc_write_sel0 <= 1'd1;
- end
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_309 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_310;
-// synthesis translate_on
-always @(*) begin
- soc_write_sel1 <= 1'd0;
- case ((soc_counter + soc_counter_offset))
- 1'd0: begin
- end
- 1'd1: begin
- soc_write_sel1 <= 1'd1;
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_310 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_311;
-// synthesis translate_on
-always @(*) begin
- soc_wb_sdram_dat_r <= 32'd0;
- case (soc_address_q[1:0])
- 1'd0: begin
- soc_wb_sdram_dat_r <= soc_cached_datas_flipflop0_q;
- end
- 1'd1: begin
- soc_wb_sdram_dat_r <= soc_cached_datas_flipflop1_q;
- end
- 2'd2: begin
- soc_wb_sdram_dat_r <= soc_cached_datas_flipflop2_q;
- end
- 2'd3: begin
- soc_wb_sdram_dat_r <= soc_cached_datas_flipflop3_q;
- end
- endcase
-// synthesis translate_off
- dummy_d_311 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_data = {soc_cached_datas_flipflop3_q, soc_cached_datas_flipflop2_q, soc_cached_datas_flipflop1_q, soc_cached_datas_flipflop0_q};
-assign soc_cached_sel = {soc_cached_sels_flipflop3_q, soc_cached_sels_flipflop2_q, soc_cached_sels_flipflop1_q, soc_cached_sels_flipflop0_q};
-
-// synthesis translate_off
-reg dummy_d_312;
-// synthesis translate_on
-always @(*) begin
- vns_converter_next_state <= 3'd0;
- vns_converter_next_state <= vns_converter_state;
- case (vns_converter_state)
- 1'd1: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- if (soc_counter_done) begin
- vns_converter_next_state <= 2'd2;
- end
- end else begin
- if ((~soc_wb_sdram_cyc)) begin
- vns_converter_next_state <= 2'd2;
- end
- end
- end
- 2'd2: begin
- if (soc_litedram_wb_ack) begin
- vns_converter_next_state <= 1'd0;
- end
- end
- 2'd3: begin
- if (soc_litedram_wb_ack) begin
- vns_converter_next_state <= 3'd4;
- end
- end
- 3'd4: begin
- vns_converter_next_state <= 1'd0;
- end
- default: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- if (soc_wb_sdram_we) begin
- vns_converter_next_state <= 1'd1;
- end else begin
- if (soc_need_refill_q) begin
- vns_converter_next_state <= 2'd3;
- end else begin
- vns_converter_next_state <= 3'd4;
- end
- end
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_312 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_313;
-// synthesis translate_on
-always @(*) begin
- soc_address_ce <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- soc_address_ce <= 1'd1;
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_313 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_314;
-// synthesis translate_on
-always @(*) begin
- soc_litedram_wb_dat_w <= 128'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- soc_litedram_wb_dat_w <= soc_cached_data;
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_314 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_315;
-// synthesis translate_on
-always @(*) begin
- soc_litedram_wb_sel <= 16'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- soc_litedram_wb_sel <= soc_cached_sel;
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_315 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_316;
-// synthesis translate_on
-always @(*) begin
- soc_counter_ce <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- soc_counter_ce <= 1'd1;
- end else begin
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_316 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_317;
-// synthesis translate_on
-always @(*) begin
- soc_litedram_wb_cyc <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- soc_litedram_wb_cyc <= 1'd1;
- end
- 2'd3: begin
- soc_litedram_wb_cyc <= 1'd1;
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_317 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_318;
-// synthesis translate_on
-always @(*) begin
- soc_counter_reset <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- soc_counter_reset <= 1'd1;
- end
- endcase
-// synthesis translate_off
- dummy_d_318 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_319;
-// synthesis translate_on
-always @(*) begin
- soc_litedram_wb_stb <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- soc_litedram_wb_stb <= 1'd1;
- end
- 2'd3: begin
- soc_litedram_wb_stb <= 1'd1;
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_319 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_320;
-// synthesis translate_on
-always @(*) begin
- soc_need_refill_ce <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- if (soc_litedram_wb_ack) begin
- soc_need_refill_ce <= 1'd1;
- end
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_320 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_321;
-// synthesis translate_on
-always @(*) begin
- soc_litedram_wb_we <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- soc_litedram_wb_we <= 1'd1;
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_321 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_322;
-// synthesis translate_on
-always @(*) begin
- soc_write <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- soc_write <= 1'd1;
- end else begin
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_322 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_323;
-// synthesis translate_on
-always @(*) begin
- soc_wb_sdram_ack <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- soc_wb_sdram_ack <= 1'd1;
- end else begin
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- soc_wb_sdram_ack <= 1'd1;
- end
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_323 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_324;
-// synthesis translate_on
-always @(*) begin
- soc_evict <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- soc_evict <= 1'd1;
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_324 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_325;
-// synthesis translate_on
-always @(*) begin
- soc_refill <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- soc_refill <= 1'd1;
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_325 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_326;
-// synthesis translate_on
-always @(*) begin
- soc_read <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- soc_read <= 1'd1;
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_326 = dummy_s;
-// synthesis translate_on
-end
-assign soc_wdata_converter_sink_valid = ((soc_litedram_wb_cyc & soc_litedram_wb_stb) & soc_litedram_wb_we);
-assign soc_wdata_converter_sink_payload_data = soc_litedram_wb_dat_w;
-assign soc_wdata_converter_sink_payload_we = soc_litedram_wb_sel;
-assign soc_port_wdata_valid = soc_wdata_converter_source_valid;
-assign soc_wdata_converter_source_ready = soc_port_wdata_ready;
-assign soc_port_wdata_first = soc_wdata_converter_source_first;
-assign soc_port_wdata_last = soc_wdata_converter_source_last;
-assign soc_port_wdata_payload_data = soc_wdata_converter_source_payload_data;
-assign soc_port_wdata_payload_we = soc_wdata_converter_source_payload_we;
-assign soc_rdata_converter_sink_valid = soc_port_rdata_valid;
-assign soc_port_rdata_ready = soc_rdata_converter_sink_ready;
-assign soc_rdata_converter_sink_first = soc_port_rdata_first;
-assign soc_rdata_converter_sink_last = soc_port_rdata_last;
-assign soc_rdata_converter_sink_payload_data = soc_port_rdata_payload_data;
-assign soc_rdata_converter_source_ready = 1'd1;
-assign soc_litedram_wb_dat_r = soc_rdata_converter_source_payload_data;
-assign soc_wdata_converter_converter_sink_valid = soc_wdata_converter_sink_valid;
-assign soc_wdata_converter_converter_sink_first = soc_wdata_converter_sink_first;
-assign soc_wdata_converter_converter_sink_last = soc_wdata_converter_sink_last;
-assign soc_wdata_converter_sink_ready = soc_wdata_converter_converter_sink_ready;
-assign soc_wdata_converter_converter_sink_payload_data = {soc_wdata_converter_sink_payload_we, soc_wdata_converter_sink_payload_data};
-assign soc_wdata_converter_source_valid = soc_wdata_converter_source_source_valid;
-assign soc_wdata_converter_source_first = soc_wdata_converter_source_source_first;
-assign soc_wdata_converter_source_last = soc_wdata_converter_source_source_last;
-assign soc_wdata_converter_source_source_ready = soc_wdata_converter_source_ready;
-assign {soc_wdata_converter_source_payload_we, soc_wdata_converter_source_payload_data} = soc_wdata_converter_source_source_payload_data;
-assign {soc_wdata_converter_source_payload_we, soc_wdata_converter_source_payload_data} = soc_wdata_converter_source_source_payload_data;
-assign soc_wdata_converter_source_source_valid = soc_wdata_converter_converter_source_valid;
-assign soc_wdata_converter_converter_source_ready = soc_wdata_converter_source_source_ready;
-assign soc_wdata_converter_source_source_first = soc_wdata_converter_converter_source_first;
-assign soc_wdata_converter_source_source_last = soc_wdata_converter_converter_source_last;
-assign soc_wdata_converter_source_source_payload_data = soc_wdata_converter_converter_source_payload_data;
-assign soc_wdata_converter_converter_source_valid = soc_wdata_converter_converter_sink_valid;
-assign soc_wdata_converter_converter_sink_ready = soc_wdata_converter_converter_source_ready;
-assign soc_wdata_converter_converter_source_first = soc_wdata_converter_converter_sink_first;
-assign soc_wdata_converter_converter_source_last = soc_wdata_converter_converter_sink_last;
-assign soc_wdata_converter_converter_source_payload_data = soc_wdata_converter_converter_sink_payload_data;
-assign soc_wdata_converter_converter_source_payload_valid_token_count = 1'd1;
-assign soc_rdata_converter_converter_sink_valid = soc_rdata_converter_sink_valid;
-assign soc_rdata_converter_converter_sink_first = soc_rdata_converter_sink_first;
-assign soc_rdata_converter_converter_sink_last = soc_rdata_converter_sink_last;
-assign soc_rdata_converter_sink_ready = soc_rdata_converter_converter_sink_ready;
-assign soc_rdata_converter_converter_sink_payload_data = {soc_rdata_converter_sink_payload_data};
-assign soc_rdata_converter_source_valid = soc_rdata_converter_source_source_valid;
-assign soc_rdata_converter_source_first = soc_rdata_converter_source_source_first;
-assign soc_rdata_converter_source_last = soc_rdata_converter_source_source_last;
-assign soc_rdata_converter_source_source_ready = soc_rdata_converter_source_ready;
-assign {soc_rdata_converter_source_payload_data} = soc_rdata_converter_source_source_payload_data;
-assign soc_rdata_converter_source_source_valid = soc_rdata_converter_converter_source_valid;
-assign soc_rdata_converter_converter_source_ready = soc_rdata_converter_source_source_ready;
-assign soc_rdata_converter_source_source_first = soc_rdata_converter_converter_source_first;
-assign soc_rdata_converter_source_source_last = soc_rdata_converter_converter_source_last;
-assign soc_rdata_converter_source_source_payload_data = soc_rdata_converter_converter_source_payload_data;
-assign soc_rdata_converter_converter_source_valid = soc_rdata_converter_converter_sink_valid;
-assign soc_rdata_converter_converter_sink_ready = soc_rdata_converter_converter_source_ready;
-assign soc_rdata_converter_converter_source_first = soc_rdata_converter_converter_sink_first;
-assign soc_rdata_converter_converter_source_last = soc_rdata_converter_converter_sink_last;
-assign soc_rdata_converter_converter_source_payload_data = soc_rdata_converter_converter_sink_payload_data;
-assign soc_rdata_converter_converter_source_payload_valid_token_count = 1'd1;
-
-// synthesis translate_off
-reg dummy_d_327;
-// synthesis translate_on
-always @(*) begin
- vns_litedramwishbone2native_next_state <= 2'd0;
- vns_litedramwishbone2native_next_state <= vns_litedramwishbone2native_state;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- if (soc_wdata_converter_sink_ready) begin
- vns_litedramwishbone2native_next_state <= 1'd0;
- end
- end
- 2'd2: begin
- if (soc_rdata_converter_source_valid) begin
- vns_litedramwishbone2native_next_state <= 1'd0;
- end
- end
- default: begin
- if ((soc_port_cmd_valid & soc_port_cmd_ready)) begin
- if ((soc_count == 1'd0)) begin
- if (soc_litedram_wb_we) begin
- vns_litedramwishbone2native_next_state <= 1'd1;
- end else begin
- vns_litedramwishbone2native_next_state <= 2'd2;
- end
- end
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_327 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_328;
-// synthesis translate_on
-always @(*) begin
- soc_count_next_value <= 1'd0;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- default: begin
- if ((soc_port_cmd_valid & soc_port_cmd_ready)) begin
- soc_count_next_value <= (soc_count + 1'd1);
- if ((soc_count == 1'd0)) begin
- soc_count_next_value <= 1'd0;
- end
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_328 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_329;
-// synthesis translate_on
-always @(*) begin
- soc_count_next_value_ce <= 1'd0;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- default: begin
- if ((soc_port_cmd_valid & soc_port_cmd_ready)) begin
- soc_count_next_value_ce <= 1'd1;
- if ((soc_count == 1'd0)) begin
- soc_count_next_value_ce <= 1'd1;
- end
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_329 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_330;
-// synthesis translate_on
-always @(*) begin
- soc_port_cmd_valid <= 1'd0;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- default: begin
- soc_port_cmd_valid <= (soc_litedram_wb_cyc & soc_litedram_wb_stb);
- end
- endcase
-// synthesis translate_off
- dummy_d_330 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_331;
-// synthesis translate_on
-always @(*) begin
- soc_litedram_wb_ack <= 1'd0;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- if (soc_wdata_converter_sink_ready) begin
- soc_litedram_wb_ack <= 1'd1;
- end
- end
- 2'd2: begin
- if (soc_rdata_converter_source_valid) begin
- soc_litedram_wb_ack <= 1'd1;
- end
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_331 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_332;
-// synthesis translate_on
-always @(*) begin
- soc_port_cmd_payload_we <= 1'd0;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- default: begin
- soc_port_cmd_payload_we <= soc_litedram_wb_we;
- end
- endcase
-// synthesis translate_off
- dummy_d_332 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_333;
-// synthesis translate_on
-always @(*) begin
- soc_port_cmd_payload_addr <= 24'd0;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- default: begin
- soc_port_cmd_payload_addr <= (((soc_litedram_wb_adr * 1'd1) + soc_count) - 27'd67108864);
- end
- endcase
-// synthesis translate_off
- dummy_d_333 = dummy_s;
-// synthesis translate_on
-end
-assign vns_shared_adr = vns_rhs_array_muxed36;
-assign vns_shared_dat_w = vns_rhs_array_muxed37;
-assign vns_shared_sel = vns_rhs_array_muxed38;
-assign vns_shared_cyc = vns_rhs_array_muxed39;
-assign vns_shared_stb = vns_rhs_array_muxed40;
-assign vns_shared_we = vns_rhs_array_muxed41;
-assign vns_shared_cti = vns_rhs_array_muxed42;
-assign vns_shared_bte = vns_rhs_array_muxed43;
-assign soc_litedramcore_cpu_ibus_dat_r = vns_shared_dat_r;
-assign soc_litedramcore_cpu_dbus_dat_r = vns_shared_dat_r;
-assign soc_litedramcore_cpu_ibus_ack = (vns_shared_ack & (vns_grant == 1'd0));
-assign soc_litedramcore_cpu_dbus_ack = (vns_shared_ack & (vns_grant == 1'd1));
-assign soc_litedramcore_cpu_ibus_err = (vns_shared_err & (vns_grant == 1'd0));
-assign soc_litedramcore_cpu_dbus_err = (vns_shared_err & (vns_grant == 1'd1));
-assign vns_request = {soc_litedramcore_cpu_dbus_cyc, soc_litedramcore_cpu_ibus_cyc};
-
-// synthesis translate_off
-reg dummy_d_334;
-// synthesis translate_on
-always @(*) begin
- vns_slave_sel <= 4'd0;
- vns_slave_sel[0] <= (vns_shared_adr[29:13] == 1'd0);
- vns_slave_sel[1] <= (vns_shared_adr[29:10] == 13'd4096);
- vns_slave_sel[2] <= (vns_shared_adr[29:14] == 16'd33280);
- vns_slave_sel[3] <= (vns_shared_adr[29:22] == 7'd64);
-// synthesis translate_off
- dummy_d_334 = dummy_s;
-// synthesis translate_on
-end
-assign soc_litedramcore_litedramcore_ram_bus_adr = vns_shared_adr;
-assign soc_litedramcore_litedramcore_ram_bus_dat_w = vns_shared_dat_w;
-assign soc_litedramcore_litedramcore_ram_bus_sel = vns_shared_sel;
-assign soc_litedramcore_litedramcore_ram_bus_stb = vns_shared_stb;
-assign soc_litedramcore_litedramcore_ram_bus_we = vns_shared_we;
-assign soc_litedramcore_litedramcore_ram_bus_cti = vns_shared_cti;
-assign soc_litedramcore_litedramcore_ram_bus_bte = vns_shared_bte;
-assign soc_litedramcore_ram_bus_ram_bus_adr = vns_shared_adr;
-assign soc_litedramcore_ram_bus_ram_bus_dat_w = vns_shared_dat_w;
-assign soc_litedramcore_ram_bus_ram_bus_sel = vns_shared_sel;
-assign soc_litedramcore_ram_bus_ram_bus_stb = vns_shared_stb;
-assign soc_litedramcore_ram_bus_ram_bus_we = vns_shared_we;
-assign soc_litedramcore_ram_bus_ram_bus_cti = vns_shared_cti;
-assign soc_litedramcore_ram_bus_ram_bus_bte = vns_shared_bte;
-assign soc_litedramcore_bus_wishbone_adr = vns_shared_adr;
-assign soc_litedramcore_bus_wishbone_dat_w = vns_shared_dat_w;
-assign soc_litedramcore_bus_wishbone_sel = vns_shared_sel;
-assign soc_litedramcore_bus_wishbone_stb = vns_shared_stb;
-assign soc_litedramcore_bus_wishbone_we = vns_shared_we;
-assign soc_litedramcore_bus_wishbone_cti = vns_shared_cti;
-assign soc_litedramcore_bus_wishbone_bte = vns_shared_bte;
-assign soc_wb_sdram_adr = vns_shared_adr;
-assign soc_wb_sdram_dat_w = vns_shared_dat_w;
-assign soc_wb_sdram_sel = vns_shared_sel;
-assign soc_wb_sdram_stb = vns_shared_stb;
-assign soc_wb_sdram_we = vns_shared_we;
-assign soc_wb_sdram_cti = vns_shared_cti;
-assign soc_wb_sdram_bte = vns_shared_bte;
-assign soc_litedramcore_litedramcore_ram_bus_cyc = (vns_shared_cyc & vns_slave_sel[0]);
-assign soc_litedramcore_ram_bus_ram_bus_cyc = (vns_shared_cyc & vns_slave_sel[1]);
-assign soc_litedramcore_bus_wishbone_cyc = (vns_shared_cyc & vns_slave_sel[2]);
-assign soc_wb_sdram_cyc = (vns_shared_cyc & vns_slave_sel[3]);
-
-// synthesis translate_off
-reg dummy_d_335;
-// synthesis translate_on
-always @(*) begin
- vns_shared_ack <= 1'd0;
- vns_shared_ack <= (((soc_litedramcore_litedramcore_ram_bus_ack | soc_litedramcore_ram_bus_ram_bus_ack) | soc_litedramcore_bus_wishbone_ack) | soc_wb_sdram_ack);
- if (vns_done) begin
- vns_shared_ack <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_335 = dummy_s;
-// synthesis translate_on
-end
-assign vns_shared_err = (((soc_litedramcore_litedramcore_ram_bus_err | soc_litedramcore_ram_bus_ram_bus_err) | soc_litedramcore_bus_wishbone_err) | soc_wb_sdram_err);
-
-// synthesis translate_off
-reg dummy_d_336;
-// synthesis translate_on
-always @(*) begin
- vns_shared_dat_r <= 32'd0;
- vns_shared_dat_r <= (((({32{vns_slave_sel_r[0]}} & soc_litedramcore_litedramcore_ram_bus_dat_r) | ({32{vns_slave_sel_r[1]}} & soc_litedramcore_ram_bus_ram_bus_dat_r)) | ({32{vns_slave_sel_r[2]}} & soc_litedramcore_bus_wishbone_dat_r)) | ({32{vns_slave_sel_r[3]}} & soc_wb_sdram_dat_r));
- if (vns_done) begin
- vns_shared_dat_r <= 32'd4294967295;
- end
-// synthesis translate_off
- dummy_d_336 = dummy_s;
-// synthesis translate_on
-end
-assign vns_wait = ((vns_shared_stb & vns_shared_cyc) & (~vns_shared_ack));
-
-// synthesis translate_off
-reg dummy_d_337;
-// synthesis translate_on
-always @(*) begin
- vns_error <= 1'd0;
- if (vns_done) begin
- vns_error <= 1'd1;
- end
+ end
+ default: begin
+ if (1'd0) begin
+ litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed));
+ end else begin
+ litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed;
+ end
+ end
+ endcase
// synthesis translate_off
- dummy_d_337 = dummy_s;
+ dummy_d_273 = dummy_s;
// synthesis translate_on
end
-assign vns_done = (vns_count == 1'd0);
-assign vns_csrbank0_sel = (vns_interface0_bank_bus_adr[13:9] == 1'd0);
-assign vns_csrbank0_reset0_r = vns_interface0_bank_bus_dat_w[0];
-assign vns_csrbank0_reset0_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 1'd0));
-assign vns_csrbank0_reset0_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 1'd0));
-assign vns_csrbank0_scratch3_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_scratch3_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 1'd1));
-assign vns_csrbank0_scratch3_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 1'd1));
-assign vns_csrbank0_scratch2_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_scratch2_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 2'd2));
-assign vns_csrbank0_scratch2_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 2'd2));
-assign vns_csrbank0_scratch1_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_scratch1_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 2'd3));
-assign vns_csrbank0_scratch1_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 2'd3));
-assign vns_csrbank0_scratch0_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_scratch0_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 3'd4));
-assign vns_csrbank0_scratch0_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 3'd4));
-assign vns_csrbank0_bus_errors3_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_bus_errors3_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 3'd5));
-assign vns_csrbank0_bus_errors3_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 3'd5));
-assign vns_csrbank0_bus_errors2_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_bus_errors2_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 3'd6));
-assign vns_csrbank0_bus_errors2_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 3'd6));
-assign vns_csrbank0_bus_errors1_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_bus_errors1_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 3'd7));
-assign vns_csrbank0_bus_errors1_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 3'd7));
-assign vns_csrbank0_bus_errors0_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_bus_errors0_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 4'd8));
-assign vns_csrbank0_bus_errors0_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 4'd8));
-assign vns_csrbank0_reset0_w = soc_litedramcore_soccontroller_reset_storage;
-assign vns_csrbank0_scratch3_w = soc_litedramcore_soccontroller_scratch_storage[31:24];
-assign vns_csrbank0_scratch2_w = soc_litedramcore_soccontroller_scratch_storage[23:16];
-assign vns_csrbank0_scratch1_w = soc_litedramcore_soccontroller_scratch_storage[15:8];
-assign vns_csrbank0_scratch0_w = soc_litedramcore_soccontroller_scratch_storage[7:0];
-assign vns_csrbank0_bus_errors3_w = soc_litedramcore_soccontroller_bus_errors_status[31:24];
-assign vns_csrbank0_bus_errors2_w = soc_litedramcore_soccontroller_bus_errors_status[23:16];
-assign vns_csrbank0_bus_errors1_w = soc_litedramcore_soccontroller_bus_errors_status[15:8];
-assign vns_csrbank0_bus_errors0_w = soc_litedramcore_soccontroller_bus_errors_status[7:0];
-assign soc_litedramcore_soccontroller_bus_errors_we = vns_csrbank0_bus_errors0_we;
-assign vns_csrbank1_sel = (vns_interface1_bank_bus_adr[13:9] == 3'd7);
-assign vns_csrbank1_init_done0_r = vns_interface1_bank_bus_dat_w[0];
-assign vns_csrbank1_init_done0_re = ((vns_csrbank1_sel & vns_interface1_bank_bus_we) & (vns_interface1_bank_bus_adr[0] == 1'd0));
-assign vns_csrbank1_init_done0_we = ((vns_csrbank1_sel & (~vns_interface1_bank_bus_we)) & (vns_interface1_bank_bus_adr[0] == 1'd0));
-assign vns_csrbank1_init_error0_r = vns_interface1_bank_bus_dat_w[0];
-assign vns_csrbank1_init_error0_re = ((vns_csrbank1_sel & vns_interface1_bank_bus_we) & (vns_interface1_bank_bus_adr[0] == 1'd1));
-assign vns_csrbank1_init_error0_we = ((vns_csrbank1_sel & (~vns_interface1_bank_bus_we)) & (vns_interface1_bank_bus_adr[0] == 1'd1));
-assign vns_csrbank1_init_done0_w = soc_init_done_storage;
-assign vns_csrbank1_init_error0_w = soc_init_error_storage;
-assign vns_csrbank2_sel = (vns_interface2_bank_bus_adr[13:9] == 3'd5);
-assign vns_csrbank2_half_sys8x_taps0_r = vns_interface2_bank_bus_dat_w[4:0];
-assign vns_csrbank2_half_sys8x_taps0_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 1'd0));
-assign vns_csrbank2_half_sys8x_taps0_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 1'd0));
-assign vns_csrbank2_wlevel_en0_r = vns_interface2_bank_bus_dat_w[0];
-assign vns_csrbank2_wlevel_en0_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 1'd1));
-assign vns_csrbank2_wlevel_en0_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 1'd1));
-assign soc_a7ddrphy_wlevel_strobe_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_wlevel_strobe_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 2'd2));
-assign soc_a7ddrphy_wlevel_strobe_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 2'd2));
-assign soc_a7ddrphy_cdly_rst_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_cdly_rst_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 2'd3));
-assign soc_a7ddrphy_cdly_rst_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 2'd3));
-assign soc_a7ddrphy_cdly_inc_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_cdly_inc_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 3'd4));
-assign soc_a7ddrphy_cdly_inc_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 3'd4));
-assign vns_csrbank2_dly_sel0_r = vns_interface2_bank_bus_dat_w[1:0];
-assign vns_csrbank2_dly_sel0_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 3'd5));
-assign vns_csrbank2_dly_sel0_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 3'd5));
-assign soc_a7ddrphy_rdly_dq_rst_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_rdly_dq_rst_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 3'd6));
-assign soc_a7ddrphy_rdly_dq_rst_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 3'd6));
-assign soc_a7ddrphy_rdly_dq_inc_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_rdly_dq_inc_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 3'd7));
-assign soc_a7ddrphy_rdly_dq_inc_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 3'd7));
-assign soc_a7ddrphy_rdly_dq_bitslip_rst_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_rdly_dq_bitslip_rst_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 4'd8));
-assign soc_a7ddrphy_rdly_dq_bitslip_rst_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 4'd8));
-assign soc_a7ddrphy_rdly_dq_bitslip_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_rdly_dq_bitslip_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 4'd9));
-assign soc_a7ddrphy_rdly_dq_bitslip_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 4'd9));
-assign vns_csrbank2_half_sys8x_taps0_w = soc_a7ddrphy_half_sys8x_taps_storage[4:0];
-assign vns_csrbank2_wlevel_en0_w = soc_a7ddrphy_wlevel_en_storage;
-assign vns_csrbank2_dly_sel0_w = soc_a7ddrphy_dly_sel_storage[1:0];
-assign vns_csrbank3_sel = (vns_interface3_bank_bus_adr[13:9] == 3'd6);
-assign vns_csrbank3_dfii_control0_r = vns_interface3_bank_bus_dat_w[3:0];
-assign vns_csrbank3_dfii_control0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 1'd0));
-assign vns_csrbank3_dfii_control0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 1'd0));
-assign vns_csrbank3_dfii_pi0_command0_r = vns_interface3_bank_bus_dat_w[5:0];
-assign vns_csrbank3_dfii_pi0_command0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 1'd1));
-assign vns_csrbank3_dfii_pi0_command0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 1'd1));
-assign soc_sdram_phaseinjector0_command_issue_r = vns_interface3_bank_bus_dat_w[0];
-assign soc_sdram_phaseinjector0_command_issue_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 2'd2));
-assign soc_sdram_phaseinjector0_command_issue_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 2'd2));
-assign vns_csrbank3_dfii_pi0_address1_r = vns_interface3_bank_bus_dat_w[5:0];
-assign vns_csrbank3_dfii_pi0_address1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 2'd3));
-assign vns_csrbank3_dfii_pi0_address1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 2'd3));
-assign vns_csrbank3_dfii_pi0_address0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_address0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 3'd4));
-assign vns_csrbank3_dfii_pi0_address0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 3'd4));
-assign vns_csrbank3_dfii_pi0_baddress0_r = vns_interface3_bank_bus_dat_w[2:0];
-assign vns_csrbank3_dfii_pi0_baddress0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 3'd5));
-assign vns_csrbank3_dfii_pi0_baddress0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 3'd5));
-assign vns_csrbank3_dfii_pi0_wrdata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_wrdata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 3'd6));
-assign vns_csrbank3_dfii_pi0_wrdata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 3'd6));
-assign vns_csrbank3_dfii_pi0_wrdata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_wrdata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 3'd7));
-assign vns_csrbank3_dfii_pi0_wrdata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 3'd7));
-assign vns_csrbank3_dfii_pi0_wrdata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_wrdata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd8));
-assign vns_csrbank3_dfii_pi0_wrdata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd8));
-assign vns_csrbank3_dfii_pi0_wrdata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_wrdata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd9));
-assign vns_csrbank3_dfii_pi0_wrdata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd9));
-assign vns_csrbank3_dfii_pi0_rddata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_rddata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd10));
-assign vns_csrbank3_dfii_pi0_rddata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd10));
-assign vns_csrbank3_dfii_pi0_rddata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_rddata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd11));
-assign vns_csrbank3_dfii_pi0_rddata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd11));
-assign vns_csrbank3_dfii_pi0_rddata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_rddata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd12));
-assign vns_csrbank3_dfii_pi0_rddata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd12));
-assign vns_csrbank3_dfii_pi0_rddata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_rddata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd13));
-assign vns_csrbank3_dfii_pi0_rddata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd13));
-assign vns_csrbank3_dfii_pi1_command0_r = vns_interface3_bank_bus_dat_w[5:0];
-assign vns_csrbank3_dfii_pi1_command0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd14));
-assign vns_csrbank3_dfii_pi1_command0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd14));
-assign soc_sdram_phaseinjector1_command_issue_r = vns_interface3_bank_bus_dat_w[0];
-assign soc_sdram_phaseinjector1_command_issue_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd15));
-assign soc_sdram_phaseinjector1_command_issue_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd15));
-assign vns_csrbank3_dfii_pi1_address1_r = vns_interface3_bank_bus_dat_w[5:0];
-assign vns_csrbank3_dfii_pi1_address1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd16));
-assign vns_csrbank3_dfii_pi1_address1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd16));
-assign vns_csrbank3_dfii_pi1_address0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_address0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd17));
-assign vns_csrbank3_dfii_pi1_address0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd17));
-assign vns_csrbank3_dfii_pi1_baddress0_r = vns_interface3_bank_bus_dat_w[2:0];
-assign vns_csrbank3_dfii_pi1_baddress0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd18));
-assign vns_csrbank3_dfii_pi1_baddress0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd18));
-assign vns_csrbank3_dfii_pi1_wrdata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_wrdata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd19));
-assign vns_csrbank3_dfii_pi1_wrdata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd19));
-assign vns_csrbank3_dfii_pi1_wrdata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_wrdata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd20));
-assign vns_csrbank3_dfii_pi1_wrdata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd20));
-assign vns_csrbank3_dfii_pi1_wrdata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_wrdata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd21));
-assign vns_csrbank3_dfii_pi1_wrdata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd21));
-assign vns_csrbank3_dfii_pi1_wrdata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_wrdata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd22));
-assign vns_csrbank3_dfii_pi1_wrdata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd22));
-assign vns_csrbank3_dfii_pi1_rddata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_rddata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd23));
-assign vns_csrbank3_dfii_pi1_rddata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd23));
-assign vns_csrbank3_dfii_pi1_rddata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_rddata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd24));
-assign vns_csrbank3_dfii_pi1_rddata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd24));
-assign vns_csrbank3_dfii_pi1_rddata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_rddata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd25));
-assign vns_csrbank3_dfii_pi1_rddata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd25));
-assign vns_csrbank3_dfii_pi1_rddata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_rddata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd26));
-assign vns_csrbank3_dfii_pi1_rddata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd26));
-assign vns_csrbank3_dfii_pi2_command0_r = vns_interface3_bank_bus_dat_w[5:0];
-assign vns_csrbank3_dfii_pi2_command0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd27));
-assign vns_csrbank3_dfii_pi2_command0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd27));
-assign soc_sdram_phaseinjector2_command_issue_r = vns_interface3_bank_bus_dat_w[0];
-assign soc_sdram_phaseinjector2_command_issue_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd28));
-assign soc_sdram_phaseinjector2_command_issue_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd28));
-assign vns_csrbank3_dfii_pi2_address1_r = vns_interface3_bank_bus_dat_w[5:0];
-assign vns_csrbank3_dfii_pi2_address1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd29));
-assign vns_csrbank3_dfii_pi2_address1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd29));
-assign vns_csrbank3_dfii_pi2_address0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_address0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd30));
-assign vns_csrbank3_dfii_pi2_address0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd30));
-assign vns_csrbank3_dfii_pi2_baddress0_r = vns_interface3_bank_bus_dat_w[2:0];
-assign vns_csrbank3_dfii_pi2_baddress0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd31));
-assign vns_csrbank3_dfii_pi2_baddress0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd31));
-assign vns_csrbank3_dfii_pi2_wrdata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_wrdata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd32));
-assign vns_csrbank3_dfii_pi2_wrdata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd32));
-assign vns_csrbank3_dfii_pi2_wrdata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_wrdata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd33));
-assign vns_csrbank3_dfii_pi2_wrdata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd33));
-assign vns_csrbank3_dfii_pi2_wrdata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_wrdata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd34));
-assign vns_csrbank3_dfii_pi2_wrdata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd34));
-assign vns_csrbank3_dfii_pi2_wrdata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_wrdata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd35));
-assign vns_csrbank3_dfii_pi2_wrdata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd35));
-assign vns_csrbank3_dfii_pi2_rddata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_rddata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd36));
-assign vns_csrbank3_dfii_pi2_rddata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd36));
-assign vns_csrbank3_dfii_pi2_rddata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_rddata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd37));
-assign vns_csrbank3_dfii_pi2_rddata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd37));
-assign vns_csrbank3_dfii_pi2_rddata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_rddata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd38));
-assign vns_csrbank3_dfii_pi2_rddata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd38));
-assign vns_csrbank3_dfii_pi2_rddata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_rddata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd39));
-assign vns_csrbank3_dfii_pi2_rddata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd39));
-assign vns_csrbank3_dfii_pi3_command0_r = vns_interface3_bank_bus_dat_w[5:0];
-assign vns_csrbank3_dfii_pi3_command0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd40));
-assign vns_csrbank3_dfii_pi3_command0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd40));
-assign soc_sdram_phaseinjector3_command_issue_r = vns_interface3_bank_bus_dat_w[0];
-assign soc_sdram_phaseinjector3_command_issue_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd41));
-assign soc_sdram_phaseinjector3_command_issue_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd41));
-assign vns_csrbank3_dfii_pi3_address1_r = vns_interface3_bank_bus_dat_w[5:0];
-assign vns_csrbank3_dfii_pi3_address1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd42));
-assign vns_csrbank3_dfii_pi3_address1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd42));
-assign vns_csrbank3_dfii_pi3_address0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_address0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd43));
-assign vns_csrbank3_dfii_pi3_address0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd43));
-assign vns_csrbank3_dfii_pi3_baddress0_r = vns_interface3_bank_bus_dat_w[2:0];
-assign vns_csrbank3_dfii_pi3_baddress0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd44));
-assign vns_csrbank3_dfii_pi3_baddress0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd44));
-assign vns_csrbank3_dfii_pi3_wrdata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_wrdata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd45));
-assign vns_csrbank3_dfii_pi3_wrdata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd45));
-assign vns_csrbank3_dfii_pi3_wrdata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_wrdata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd46));
-assign vns_csrbank3_dfii_pi3_wrdata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd46));
-assign vns_csrbank3_dfii_pi3_wrdata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_wrdata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd47));
-assign vns_csrbank3_dfii_pi3_wrdata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd47));
-assign vns_csrbank3_dfii_pi3_wrdata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_wrdata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd48));
-assign vns_csrbank3_dfii_pi3_wrdata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd48));
-assign vns_csrbank3_dfii_pi3_rddata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_rddata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd49));
-assign vns_csrbank3_dfii_pi3_rddata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd49));
-assign vns_csrbank3_dfii_pi3_rddata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_rddata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd50));
-assign vns_csrbank3_dfii_pi3_rddata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd50));
-assign vns_csrbank3_dfii_pi3_rddata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_rddata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd51));
-assign vns_csrbank3_dfii_pi3_rddata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd51));
-assign vns_csrbank3_dfii_pi3_rddata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_rddata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd52));
-assign vns_csrbank3_dfii_pi3_rddata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd52));
-assign vns_csrbank3_dfii_control0_w = soc_sdram_storage[3:0];
-assign vns_csrbank3_dfii_pi0_command0_w = soc_sdram_phaseinjector0_command_storage[5:0];
-assign vns_csrbank3_dfii_pi0_address1_w = soc_sdram_phaseinjector0_address_storage[13:8];
-assign vns_csrbank3_dfii_pi0_address0_w = soc_sdram_phaseinjector0_address_storage[7:0];
-assign vns_csrbank3_dfii_pi0_baddress0_w = soc_sdram_phaseinjector0_baddress_storage[2:0];
-assign vns_csrbank3_dfii_pi0_wrdata3_w = soc_sdram_phaseinjector0_wrdata_storage[31:24];
-assign vns_csrbank3_dfii_pi0_wrdata2_w = soc_sdram_phaseinjector0_wrdata_storage[23:16];
-assign vns_csrbank3_dfii_pi0_wrdata1_w = soc_sdram_phaseinjector0_wrdata_storage[15:8];
-assign vns_csrbank3_dfii_pi0_wrdata0_w = soc_sdram_phaseinjector0_wrdata_storage[7:0];
-assign vns_csrbank3_dfii_pi0_rddata3_w = soc_sdram_phaseinjector0_status[31:24];
-assign vns_csrbank3_dfii_pi0_rddata2_w = soc_sdram_phaseinjector0_status[23:16];
-assign vns_csrbank3_dfii_pi0_rddata1_w = soc_sdram_phaseinjector0_status[15:8];
-assign vns_csrbank3_dfii_pi0_rddata0_w = soc_sdram_phaseinjector0_status[7:0];
-assign soc_sdram_phaseinjector0_we = vns_csrbank3_dfii_pi0_rddata0_we;
-assign vns_csrbank3_dfii_pi1_command0_w = soc_sdram_phaseinjector1_command_storage[5:0];
-assign vns_csrbank3_dfii_pi1_address1_w = soc_sdram_phaseinjector1_address_storage[13:8];
-assign vns_csrbank3_dfii_pi1_address0_w = soc_sdram_phaseinjector1_address_storage[7:0];
-assign vns_csrbank3_dfii_pi1_baddress0_w = soc_sdram_phaseinjector1_baddress_storage[2:0];
-assign vns_csrbank3_dfii_pi1_wrdata3_w = soc_sdram_phaseinjector1_wrdata_storage[31:24];
-assign vns_csrbank3_dfii_pi1_wrdata2_w = soc_sdram_phaseinjector1_wrdata_storage[23:16];
-assign vns_csrbank3_dfii_pi1_wrdata1_w = soc_sdram_phaseinjector1_wrdata_storage[15:8];
-assign vns_csrbank3_dfii_pi1_wrdata0_w = soc_sdram_phaseinjector1_wrdata_storage[7:0];
-assign vns_csrbank3_dfii_pi1_rddata3_w = soc_sdram_phaseinjector1_status[31:24];
-assign vns_csrbank3_dfii_pi1_rddata2_w = soc_sdram_phaseinjector1_status[23:16];
-assign vns_csrbank3_dfii_pi1_rddata1_w = soc_sdram_phaseinjector1_status[15:8];
-assign vns_csrbank3_dfii_pi1_rddata0_w = soc_sdram_phaseinjector1_status[7:0];
-assign soc_sdram_phaseinjector1_we = vns_csrbank3_dfii_pi1_rddata0_we;
-assign vns_csrbank3_dfii_pi2_command0_w = soc_sdram_phaseinjector2_command_storage[5:0];
-assign vns_csrbank3_dfii_pi2_address1_w = soc_sdram_phaseinjector2_address_storage[13:8];
-assign vns_csrbank3_dfii_pi2_address0_w = soc_sdram_phaseinjector2_address_storage[7:0];
-assign vns_csrbank3_dfii_pi2_baddress0_w = soc_sdram_phaseinjector2_baddress_storage[2:0];
-assign vns_csrbank3_dfii_pi2_wrdata3_w = soc_sdram_phaseinjector2_wrdata_storage[31:24];
-assign vns_csrbank3_dfii_pi2_wrdata2_w = soc_sdram_phaseinjector2_wrdata_storage[23:16];
-assign vns_csrbank3_dfii_pi2_wrdata1_w = soc_sdram_phaseinjector2_wrdata_storage[15:8];
-assign vns_csrbank3_dfii_pi2_wrdata0_w = soc_sdram_phaseinjector2_wrdata_storage[7:0];
-assign vns_csrbank3_dfii_pi2_rddata3_w = soc_sdram_phaseinjector2_status[31:24];
-assign vns_csrbank3_dfii_pi2_rddata2_w = soc_sdram_phaseinjector2_status[23:16];
-assign vns_csrbank3_dfii_pi2_rddata1_w = soc_sdram_phaseinjector2_status[15:8];
-assign vns_csrbank3_dfii_pi2_rddata0_w = soc_sdram_phaseinjector2_status[7:0];
-assign soc_sdram_phaseinjector2_we = vns_csrbank3_dfii_pi2_rddata0_we;
-assign vns_csrbank3_dfii_pi3_command0_w = soc_sdram_phaseinjector3_command_storage[5:0];
-assign vns_csrbank3_dfii_pi3_address1_w = soc_sdram_phaseinjector3_address_storage[13:8];
-assign vns_csrbank3_dfii_pi3_address0_w = soc_sdram_phaseinjector3_address_storage[7:0];
-assign vns_csrbank3_dfii_pi3_baddress0_w = soc_sdram_phaseinjector3_baddress_storage[2:0];
-assign vns_csrbank3_dfii_pi3_wrdata3_w = soc_sdram_phaseinjector3_wrdata_storage[31:24];
-assign vns_csrbank3_dfii_pi3_wrdata2_w = soc_sdram_phaseinjector3_wrdata_storage[23:16];
-assign vns_csrbank3_dfii_pi3_wrdata1_w = soc_sdram_phaseinjector3_wrdata_storage[15:8];
-assign vns_csrbank3_dfii_pi3_wrdata0_w = soc_sdram_phaseinjector3_wrdata_storage[7:0];
-assign vns_csrbank3_dfii_pi3_rddata3_w = soc_sdram_phaseinjector3_status[31:24];
-assign vns_csrbank3_dfii_pi3_rddata2_w = soc_sdram_phaseinjector3_status[23:16];
-assign vns_csrbank3_dfii_pi3_rddata1_w = soc_sdram_phaseinjector3_status[15:8];
-assign vns_csrbank3_dfii_pi3_rddata0_w = soc_sdram_phaseinjector3_status[7:0];
-assign soc_sdram_phaseinjector3_we = vns_csrbank3_dfii_pi3_rddata0_we;
-assign vns_csrbank4_sel = (vns_interface4_bank_bus_adr[13:9] == 3'd4);
-assign vns_csrbank4_load3_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_load3_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 1'd0));
-assign vns_csrbank4_load3_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 1'd0));
-assign vns_csrbank4_load2_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_load2_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 1'd1));
-assign vns_csrbank4_load2_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 1'd1));
-assign vns_csrbank4_load1_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_load1_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 2'd2));
-assign vns_csrbank4_load1_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 2'd2));
-assign vns_csrbank4_load0_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_load0_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 2'd3));
-assign vns_csrbank4_load0_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 2'd3));
-assign vns_csrbank4_reload3_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_reload3_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 3'd4));
-assign vns_csrbank4_reload3_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 3'd4));
-assign vns_csrbank4_reload2_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_reload2_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 3'd5));
-assign vns_csrbank4_reload2_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 3'd5));
-assign vns_csrbank4_reload1_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_reload1_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 3'd6));
-assign vns_csrbank4_reload1_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 3'd6));
-assign vns_csrbank4_reload0_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_reload0_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 3'd7));
-assign vns_csrbank4_reload0_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 3'd7));
-assign vns_csrbank4_en0_r = vns_interface4_bank_bus_dat_w[0];
-assign vns_csrbank4_en0_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd8));
-assign vns_csrbank4_en0_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd8));
-assign vns_csrbank4_update_value0_r = vns_interface4_bank_bus_dat_w[0];
-assign vns_csrbank4_update_value0_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd9));
-assign vns_csrbank4_update_value0_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd9));
-assign vns_csrbank4_value3_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_value3_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd10));
-assign vns_csrbank4_value3_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd10));
-assign vns_csrbank4_value2_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_value2_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd11));
-assign vns_csrbank4_value2_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd11));
-assign vns_csrbank4_value1_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_value1_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd12));
-assign vns_csrbank4_value1_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd12));
-assign vns_csrbank4_value0_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_value0_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd13));
-assign vns_csrbank4_value0_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd13));
-assign soc_litedramcore_timer_eventmanager_status_r = vns_interface4_bank_bus_dat_w[0];
-assign soc_litedramcore_timer_eventmanager_status_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd14));
-assign soc_litedramcore_timer_eventmanager_status_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd14));
-assign soc_litedramcore_timer_eventmanager_pending_r = vns_interface4_bank_bus_dat_w[0];
-assign soc_litedramcore_timer_eventmanager_pending_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd15));
-assign soc_litedramcore_timer_eventmanager_pending_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd15));
-assign vns_csrbank4_ev_enable0_r = vns_interface4_bank_bus_dat_w[0];
-assign vns_csrbank4_ev_enable0_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 5'd16));
-assign vns_csrbank4_ev_enable0_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 5'd16));
-assign vns_csrbank4_load3_w = soc_litedramcore_timer_load_storage[31:24];
-assign vns_csrbank4_load2_w = soc_litedramcore_timer_load_storage[23:16];
-assign vns_csrbank4_load1_w = soc_litedramcore_timer_load_storage[15:8];
-assign vns_csrbank4_load0_w = soc_litedramcore_timer_load_storage[7:0];
-assign vns_csrbank4_reload3_w = soc_litedramcore_timer_reload_storage[31:24];
-assign vns_csrbank4_reload2_w = soc_litedramcore_timer_reload_storage[23:16];
-assign vns_csrbank4_reload1_w = soc_litedramcore_timer_reload_storage[15:8];
-assign vns_csrbank4_reload0_w = soc_litedramcore_timer_reload_storage[7:0];
-assign vns_csrbank4_en0_w = soc_litedramcore_timer_en_storage;
-assign vns_csrbank4_update_value0_w = soc_litedramcore_timer_update_value_storage;
-assign vns_csrbank4_value3_w = soc_litedramcore_timer_value_status[31:24];
-assign vns_csrbank4_value2_w = soc_litedramcore_timer_value_status[23:16];
-assign vns_csrbank4_value1_w = soc_litedramcore_timer_value_status[15:8];
-assign vns_csrbank4_value0_w = soc_litedramcore_timer_value_status[7:0];
-assign soc_litedramcore_timer_value_we = vns_csrbank4_value0_we;
-assign vns_csrbank4_ev_enable0_w = soc_litedramcore_timer_eventmanager_storage;
-assign vns_csrbank5_sel = (vns_interface5_bank_bus_adr[13:9] == 2'd3);
-assign soc_litedramcore_uart_rxtx_r = vns_interface5_bank_bus_dat_w[7:0];
-assign soc_litedramcore_uart_rxtx_re = ((vns_csrbank5_sel & vns_interface5_bank_bus_we) & (vns_interface5_bank_bus_adr[2:0] == 1'd0));
-assign soc_litedramcore_uart_rxtx_we = ((vns_csrbank5_sel & (~vns_interface5_bank_bus_we)) & (vns_interface5_bank_bus_adr[2:0] == 1'd0));
-assign vns_csrbank5_txfull_r = vns_interface5_bank_bus_dat_w[0];
-assign vns_csrbank5_txfull_re = ((vns_csrbank5_sel & vns_interface5_bank_bus_we) & (vns_interface5_bank_bus_adr[2:0] == 1'd1));
-assign vns_csrbank5_txfull_we = ((vns_csrbank5_sel & (~vns_interface5_bank_bus_we)) & (vns_interface5_bank_bus_adr[2:0] == 1'd1));
-assign vns_csrbank5_rxempty_r = vns_interface5_bank_bus_dat_w[0];
-assign vns_csrbank5_rxempty_re = ((vns_csrbank5_sel & vns_interface5_bank_bus_we) & (vns_interface5_bank_bus_adr[2:0] == 2'd2));
-assign vns_csrbank5_rxempty_we = ((vns_csrbank5_sel & (~vns_interface5_bank_bus_we)) & (vns_interface5_bank_bus_adr[2:0] == 2'd2));
-assign soc_litedramcore_uart_eventmanager_status_r = vns_interface5_bank_bus_dat_w[1:0];
-assign soc_litedramcore_uart_eventmanager_status_re = ((vns_csrbank5_sel & vns_interface5_bank_bus_we) & (vns_interface5_bank_bus_adr[2:0] == 2'd3));
-assign soc_litedramcore_uart_eventmanager_status_we = ((vns_csrbank5_sel & (~vns_interface5_bank_bus_we)) & (vns_interface5_bank_bus_adr[2:0] == 2'd3));
-assign soc_litedramcore_uart_eventmanager_pending_r = vns_interface5_bank_bus_dat_w[1:0];
-assign soc_litedramcore_uart_eventmanager_pending_re = ((vns_csrbank5_sel & vns_interface5_bank_bus_we) & (vns_interface5_bank_bus_adr[2:0] == 3'd4));
-assign soc_litedramcore_uart_eventmanager_pending_we = ((vns_csrbank5_sel & (~vns_interface5_bank_bus_we)) & (vns_interface5_bank_bus_adr[2:0] == 3'd4));
-assign vns_csrbank5_ev_enable0_r = vns_interface5_bank_bus_dat_w[1:0];
-assign vns_csrbank5_ev_enable0_re = ((vns_csrbank5_sel & vns_interface5_bank_bus_we) & (vns_interface5_bank_bus_adr[2:0] == 3'd5));
-assign vns_csrbank5_ev_enable0_we = ((vns_csrbank5_sel & (~vns_interface5_bank_bus_we)) & (vns_interface5_bank_bus_adr[2:0] == 3'd5));
-assign vns_csrbank5_txfull_w = soc_litedramcore_uart_txfull_status;
-assign soc_litedramcore_uart_txfull_we = vns_csrbank5_txfull_we;
-assign vns_csrbank5_rxempty_w = soc_litedramcore_uart_rxempty_status;
-assign soc_litedramcore_uart_rxempty_we = vns_csrbank5_rxempty_we;
-assign vns_csrbank5_ev_enable0_w = soc_litedramcore_uart_eventmanager_storage[1:0];
-assign vns_csrbank6_sel = (vns_interface6_bank_bus_adr[13:9] == 2'd2);
-assign vns_csrbank6_tuning_word3_r = vns_interface6_bank_bus_dat_w[7:0];
-assign vns_csrbank6_tuning_word3_re = ((vns_csrbank6_sel & vns_interface6_bank_bus_we) & (vns_interface6_bank_bus_adr[1:0] == 1'd0));
-assign vns_csrbank6_tuning_word3_we = ((vns_csrbank6_sel & (~vns_interface6_bank_bus_we)) & (vns_interface6_bank_bus_adr[1:0] == 1'd0));
-assign vns_csrbank6_tuning_word2_r = vns_interface6_bank_bus_dat_w[7:0];
-assign vns_csrbank6_tuning_word2_re = ((vns_csrbank6_sel & vns_interface6_bank_bus_we) & (vns_interface6_bank_bus_adr[1:0] == 1'd1));
-assign vns_csrbank6_tuning_word2_we = ((vns_csrbank6_sel & (~vns_interface6_bank_bus_we)) & (vns_interface6_bank_bus_adr[1:0] == 1'd1));
-assign vns_csrbank6_tuning_word1_r = vns_interface6_bank_bus_dat_w[7:0];
-assign vns_csrbank6_tuning_word1_re = ((vns_csrbank6_sel & vns_interface6_bank_bus_we) & (vns_interface6_bank_bus_adr[1:0] == 2'd2));
-assign vns_csrbank6_tuning_word1_we = ((vns_csrbank6_sel & (~vns_interface6_bank_bus_we)) & (vns_interface6_bank_bus_adr[1:0] == 2'd2));
-assign vns_csrbank6_tuning_word0_r = vns_interface6_bank_bus_dat_w[7:0];
-assign vns_csrbank6_tuning_word0_re = ((vns_csrbank6_sel & vns_interface6_bank_bus_we) & (vns_interface6_bank_bus_adr[1:0] == 2'd3));
-assign vns_csrbank6_tuning_word0_we = ((vns_csrbank6_sel & (~vns_interface6_bank_bus_we)) & (vns_interface6_bank_bus_adr[1:0] == 2'd3));
-assign vns_csrbank6_tuning_word3_w = soc_litedramcore_storage[31:24];
-assign vns_csrbank6_tuning_word2_w = soc_litedramcore_storage[23:16];
-assign vns_csrbank6_tuning_word1_w = soc_litedramcore_storage[15:8];
-assign vns_csrbank6_tuning_word0_w = soc_litedramcore_storage[7:0];
-assign vns_adr = soc_litedramcore_interface_adr;
-assign vns_we = soc_litedramcore_interface_we;
-assign vns_dat_w = soc_litedramcore_interface_dat_w;
-assign soc_litedramcore_interface_dat_r = vns_dat_r;
-assign vns_interface0_bank_bus_adr = vns_adr;
-assign vns_interface1_bank_bus_adr = vns_adr;
-assign vns_interface2_bank_bus_adr = vns_adr;
-assign vns_interface3_bank_bus_adr = vns_adr;
-assign vns_interface4_bank_bus_adr = vns_adr;
-assign vns_interface5_bank_bus_adr = vns_adr;
-assign vns_interface6_bank_bus_adr = vns_adr;
-assign vns_interface0_bank_bus_we = vns_we;
-assign vns_interface1_bank_bus_we = vns_we;
-assign vns_interface2_bank_bus_we = vns_we;
-assign vns_interface3_bank_bus_we = vns_we;
-assign vns_interface4_bank_bus_we = vns_we;
-assign vns_interface5_bank_bus_we = vns_we;
-assign vns_interface6_bank_bus_we = vns_we;
-assign vns_interface0_bank_bus_dat_w = vns_dat_w;
-assign vns_interface1_bank_bus_dat_w = vns_dat_w;
-assign vns_interface2_bank_bus_dat_w = vns_dat_w;
-assign vns_interface3_bank_bus_dat_w = vns_dat_w;
-assign vns_interface4_bank_bus_dat_w = vns_dat_w;
-assign vns_interface5_bank_bus_dat_w = vns_dat_w;
-assign vns_interface6_bank_bus_dat_w = vns_dat_w;
-assign vns_dat_r = ((((((vns_interface0_bank_bus_dat_r | vns_interface1_bank_bus_dat_r) | vns_interface2_bank_bus_dat_r) | vns_interface3_bank_bus_dat_r) | vns_interface4_bank_bus_dat_r) | vns_interface5_bank_bus_dat_r) | vns_interface6_bank_bus_dat_r);
// synthesis translate_off
-reg dummy_d_338;
+reg dummy_d_274;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed0 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[0];
- end
+ litedramcore_en1 <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[1];
+ litedramcore_en1 <= 1'd1;
end
2'd2: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[2];
end
2'd3: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[3];
end
3'd4: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[4];
end
3'd5: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[5];
end
3'd6: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[6];
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ 4'd9: begin
+ end
+ 4'd10: begin
end
default: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[7];
end
endcase
// synthesis translate_off
- dummy_d_338 = dummy_s;
+ dummy_d_274 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_339;
+reg dummy_d_275;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed1 <= 14'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine0_cmd_payload_a;
- end
+ litedramcore_steerer_sel3 <= 2'd0;
+ case (multiplexer_state)
1'd1: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine1_cmd_payload_a;
+ litedramcore_steerer_sel3 <= 2'd2;
end
2'd2: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine2_cmd_payload_a;
end
2'd3: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine3_cmd_payload_a;
end
3'd4: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine4_cmd_payload_a;
end
3'd5: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine5_cmd_payload_a;
end
3'd6: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine6_cmd_payload_a;
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ 4'd9: begin
+ end
+ 4'd10: begin
end
default: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine7_cmd_payload_a;
+ litedramcore_steerer_sel3 <= 1'd0;
end
endcase
// synthesis translate_off
- dummy_d_339 = dummy_s;
+ dummy_d_275 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_340;
+reg dummy_d_276;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed2 <= 3'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine0_cmd_payload_ba;
- end
+ litedramcore_steerer_sel0 <= 2'd0;
+ case (multiplexer_state)
1'd1: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine1_cmd_payload_ba;
+ litedramcore_steerer_sel0 <= 1'd0;
end
2'd2: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine2_cmd_payload_ba;
+ litedramcore_steerer_sel0 <= 2'd3;
end
2'd3: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine3_cmd_payload_ba;
end
3'd4: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine4_cmd_payload_ba;
end
3'd5: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine5_cmd_payload_ba;
end
3'd6: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine6_cmd_payload_ba;
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ 4'd9: begin
+ end
+ 4'd10: begin
end
default: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine7_cmd_payload_ba;
+ litedramcore_steerer_sel0 <= 1'd0;
end
endcase
// synthesis translate_off
- dummy_d_340 = dummy_s;
+ dummy_d_276 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_341;
+reg dummy_d_277;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed3 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine0_cmd_payload_is_read;
- end
+ litedramcore_steerer_sel1 <= 2'd0;
+ case (multiplexer_state)
1'd1: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine1_cmd_payload_is_read;
+ litedramcore_steerer_sel1 <= 1'd0;
end
2'd2: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine2_cmd_payload_is_read;
end
2'd3: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine3_cmd_payload_is_read;
end
3'd4: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine4_cmd_payload_is_read;
end
3'd5: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine5_cmd_payload_is_read;
end
3'd6: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine6_cmd_payload_is_read;
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ 4'd9: begin
+ end
+ 4'd10: begin
end
default: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine7_cmd_payload_is_read;
+ litedramcore_steerer_sel1 <= 1'd1;
end
endcase
// synthesis translate_off
- dummy_d_341 = dummy_s;
+ dummy_d_277 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_342;
+reg dummy_d_278;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed4 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine0_cmd_payload_is_write;
- end
+ litedramcore_steerer_sel2 <= 2'd0;
+ case (multiplexer_state)
1'd1: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine1_cmd_payload_is_write;
+ litedramcore_steerer_sel2 <= 1'd1;
end
2'd2: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine2_cmd_payload_is_write;
end
2'd3: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine3_cmd_payload_is_write;
end
3'd4: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine4_cmd_payload_is_write;
end
3'd5: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine5_cmd_payload_is_write;
end
3'd6: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine6_cmd_payload_is_write;
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ 4'd9: begin
+ end
+ 4'd10: begin
end
default: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine7_cmd_payload_is_write;
+ litedramcore_steerer_sel2 <= 2'd2;
end
endcase
// synthesis translate_off
- dummy_d_342 = dummy_s;
+ dummy_d_278 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_343;
+reg dummy_d_279;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed5 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine0_cmd_payload_is_cmd;
- end
+ litedramcore_choose_cmd_want_activates <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine1_cmd_payload_is_cmd;
+ if (1'd0) begin
+ end else begin
+ litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed;
+ end
end
2'd2: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine2_cmd_payload_is_cmd;
end
2'd3: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine3_cmd_payload_is_cmd;
end
3'd4: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine4_cmd_payload_is_cmd;
end
3'd5: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine5_cmd_payload_is_cmd;
end
3'd6: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine6_cmd_payload_is_cmd;
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ 4'd9: begin
+ end
+ 4'd10: begin
end
default: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine7_cmd_payload_is_cmd;
+ if (1'd0) begin
+ end else begin
+ litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed;
+ end
end
endcase
// synthesis translate_off
- dummy_d_343 = dummy_s;
+ dummy_d_279 = dummy_s;
// synthesis translate_on
end
+assign roundrobin0_request = {(((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((locked0 | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin0_ce = ((~litedramcore_interface_bank0_valid) & (~litedramcore_interface_bank0_lock));
+assign litedramcore_interface_bank0_addr = rhs_array_muxed12;
+assign litedramcore_interface_bank0_we = rhs_array_muxed13;
+assign litedramcore_interface_bank0_valid = rhs_array_muxed14;
+assign roundrobin1_request = {(((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((locked1 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin1_ce = ((~litedramcore_interface_bank1_valid) & (~litedramcore_interface_bank1_lock));
+assign litedramcore_interface_bank1_addr = rhs_array_muxed15;
+assign litedramcore_interface_bank1_we = rhs_array_muxed16;
+assign litedramcore_interface_bank1_valid = rhs_array_muxed17;
+assign roundrobin2_request = {(((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((locked2 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin2_ce = ((~litedramcore_interface_bank2_valid) & (~litedramcore_interface_bank2_lock));
+assign litedramcore_interface_bank2_addr = rhs_array_muxed18;
+assign litedramcore_interface_bank2_we = rhs_array_muxed19;
+assign litedramcore_interface_bank2_valid = rhs_array_muxed20;
+assign roundrobin3_request = {(((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((locked3 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin3_ce = ((~litedramcore_interface_bank3_valid) & (~litedramcore_interface_bank3_lock));
+assign litedramcore_interface_bank3_addr = rhs_array_muxed21;
+assign litedramcore_interface_bank3_we = rhs_array_muxed22;
+assign litedramcore_interface_bank3_valid = rhs_array_muxed23;
+assign roundrobin4_request = {(((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((locked4 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin4_ce = ((~litedramcore_interface_bank4_valid) & (~litedramcore_interface_bank4_lock));
+assign litedramcore_interface_bank4_addr = rhs_array_muxed24;
+assign litedramcore_interface_bank4_we = rhs_array_muxed25;
+assign litedramcore_interface_bank4_valid = rhs_array_muxed26;
+assign roundrobin5_request = {(((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((locked5 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin5_ce = ((~litedramcore_interface_bank5_valid) & (~litedramcore_interface_bank5_lock));
+assign litedramcore_interface_bank5_addr = rhs_array_muxed27;
+assign litedramcore_interface_bank5_we = rhs_array_muxed28;
+assign litedramcore_interface_bank5_valid = rhs_array_muxed29;
+assign roundrobin6_request = {(((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((locked6 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin6_ce = ((~litedramcore_interface_bank6_valid) & (~litedramcore_interface_bank6_lock));
+assign litedramcore_interface_bank6_addr = rhs_array_muxed30;
+assign litedramcore_interface_bank6_we = rhs_array_muxed31;
+assign litedramcore_interface_bank6_valid = rhs_array_muxed32;
+assign roundrobin7_request = {(((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((locked7 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin7_ce = ((~litedramcore_interface_bank7_valid) & (~litedramcore_interface_bank7_lock));
+assign litedramcore_interface_bank7_addr = rhs_array_muxed33;
+assign litedramcore_interface_bank7_we = rhs_array_muxed34;
+assign litedramcore_interface_bank7_valid = rhs_array_muxed35;
+assign user_port_cmd_ready = ((((((((1'd0 | (((roundrobin0_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((locked0 | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank0_ready)) | (((roundrobin1_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((locked1 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank1_ready)) | (((roundrobin2_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((locked2 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank2_ready)) | (((roundrobin3_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((locked3 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank3_ready)) | (((roundrobin4_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((locked4 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank4_ready)) | (((roundrobin5_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((locked5 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank5_ready)) | (((roundrobin6_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((locked6 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank6_ready)) | (((roundrobin7_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((locked7 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0)))))) & litedramcore_interface_bank7_ready));
+assign user_port_wdata_ready = new_master_wdata_ready2;
+assign user_port_rdata_valid = new_master_rdata_valid8;
// synthesis translate_off
-reg dummy_d_344;
+reg dummy_d_280;
// synthesis translate_on
always @(*) begin
- vns_t_array_muxed0 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine0_cmd_payload_cas;
- end
+ litedramcore_interface_wdata_we <= 16'd0;
+ case ({new_master_wdata_ready2})
1'd1: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine1_cmd_payload_cas;
- end
- 2'd2: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine2_cmd_payload_cas;
- end
- 2'd3: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine3_cmd_payload_cas;
- end
- 3'd4: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine4_cmd_payload_cas;
+ litedramcore_interface_wdata_we <= user_port_wdata_payload_we;
end
- 3'd5: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine5_cmd_payload_cas;
+ default: begin
+ litedramcore_interface_wdata_we <= 1'd0;
end
- 3'd6: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine6_cmd_payload_cas;
+ endcase
+// synthesis translate_off
+ dummy_d_280 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_281;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_interface_wdata <= 128'd0;
+ case ({new_master_wdata_ready2})
+ 1'd1: begin
+ litedramcore_interface_wdata <= user_port_wdata_payload_data;
end
default: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine7_cmd_payload_cas;
+ litedramcore_interface_wdata <= 1'd0;
end
endcase
// synthesis translate_off
- dummy_d_344 = dummy_s;
+ dummy_d_281 = dummy_s;
// synthesis translate_on
end
+assign user_port_rdata_payload_data = litedramcore_interface_rdata;
+assign roundrobin0_grant = 1'd0;
+assign roundrobin1_grant = 1'd0;
+assign roundrobin2_grant = 1'd0;
+assign roundrobin3_grant = 1'd0;
+assign roundrobin4_grant = 1'd0;
+assign roundrobin5_grant = 1'd0;
+assign roundrobin6_grant = 1'd0;
+assign roundrobin7_grant = 1'd0;
// synthesis translate_off
-reg dummy_d_345;
+reg dummy_d_282;
+// synthesis translate_on
+always @(*) begin
+ csrbank0_sel <= 1'd0;
+ csrbank0_sel <= (interface0_bank_bus_adr[13:11] == 2'd2);
+ if (interface0_bank_bus_adr[0]) begin
+ csrbank0_sel <= 1'd0;
+ end
+// synthesis translate_off
+ dummy_d_282 = dummy_s;
+// synthesis translate_on
+end
+assign csrbank0_init_done0_r = interface0_bank_bus_dat_w[0];
+assign csrbank0_init_done0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[3] == 1'd0));
+assign csrbank0_init_done0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[3] == 1'd0));
+assign csrbank0_init_error0_r = interface0_bank_bus_dat_w[0];
+assign csrbank0_init_error0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[3] == 1'd1));
+assign csrbank0_init_error0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[3] == 1'd1));
+assign csrbank0_init_done0_w = init_done_storage;
+assign csrbank0_init_error0_w = init_error_storage;
+
+// synthesis translate_off
+reg dummy_d_283;
+// synthesis translate_on
+always @(*) begin
+ csrbank1_sel <= 1'd0;
+ csrbank1_sel <= (interface1_bank_bus_adr[13:11] == 1'd0);
+ if (interface1_bank_bus_adr[0]) begin
+ csrbank1_sel <= 1'd0;
+ end
+// synthesis translate_off
+ dummy_d_283 = dummy_s;
+// synthesis translate_on
+end
+assign csrbank1_half_sys8x_taps0_r = interface1_bank_bus_dat_w[4:0];
+assign csrbank1_half_sys8x_taps0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 1'd0));
+assign csrbank1_half_sys8x_taps0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 1'd0));
+assign csrbank1_wlevel_en0_r = interface1_bank_bus_dat_w[0];
+assign csrbank1_wlevel_en0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 1'd1));
+assign csrbank1_wlevel_en0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 1'd1));
+assign a7ddrphy_wlevel_strobe_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_wlevel_strobe_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 2'd2));
+assign a7ddrphy_wlevel_strobe_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 2'd2));
+assign a7ddrphy_cdly_rst_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_cdly_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 2'd3));
+assign a7ddrphy_cdly_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 2'd3));
+assign a7ddrphy_cdly_inc_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_cdly_inc_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 3'd4));
+assign a7ddrphy_cdly_inc_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 3'd4));
+assign csrbank1_dly_sel0_r = interface1_bank_bus_dat_w[1:0];
+assign csrbank1_dly_sel0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 3'd5));
+assign csrbank1_dly_sel0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 3'd5));
+assign a7ddrphy_rdly_dq_rst_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_rdly_dq_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 3'd6));
+assign a7ddrphy_rdly_dq_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 3'd6));
+assign a7ddrphy_rdly_dq_inc_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_rdly_dq_inc_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 3'd7));
+assign a7ddrphy_rdly_dq_inc_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 3'd7));
+assign a7ddrphy_rdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_rdly_dq_bitslip_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 4'd8));
+assign a7ddrphy_rdly_dq_bitslip_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 4'd8));
+assign a7ddrphy_rdly_dq_bitslip_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_rdly_dq_bitslip_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 4'd9));
+assign a7ddrphy_rdly_dq_bitslip_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 4'd9));
+assign csrbank1_half_sys8x_taps0_w = a7ddrphy_half_sys8x_taps_storage[4:0];
+assign csrbank1_wlevel_en0_w = a7ddrphy_wlevel_en_storage;
+assign csrbank1_dly_sel0_w = a7ddrphy_dly_sel_storage[1:0];
+
+// synthesis translate_off
+reg dummy_d_284;
+// synthesis translate_on
+always @(*) begin
+ csrbank2_sel <= 1'd0;
+ csrbank2_sel <= (interface2_bank_bus_adr[13:11] == 1'd1);
+ if (interface2_bank_bus_adr[0]) begin
+ csrbank2_sel <= 1'd0;
+ end
+// synthesis translate_off
+ dummy_d_284 = dummy_s;
+// synthesis translate_on
+end
+assign csrbank2_dfii_control0_r = interface2_bank_bus_dat_w[3:0];
+assign csrbank2_dfii_control0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 1'd0));
+assign csrbank2_dfii_control0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 1'd0));
+assign csrbank2_dfii_pi0_command0_r = interface2_bank_bus_dat_w[5:0];
+assign csrbank2_dfii_pi0_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 1'd1));
+assign csrbank2_dfii_pi0_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 1'd1));
+assign litedramcore_phaseinjector0_command_issue_r = interface2_bank_bus_dat_w[0];
+assign litedramcore_phaseinjector0_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 2'd2));
+assign litedramcore_phaseinjector0_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 2'd2));
+assign csrbank2_dfii_pi0_address1_r = interface2_bank_bus_dat_w[5:0];
+assign csrbank2_dfii_pi0_address1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 2'd3));
+assign csrbank2_dfii_pi0_address1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 2'd3));
+assign csrbank2_dfii_pi0_address0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 3'd4));
+assign csrbank2_dfii_pi0_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 3'd4));
+assign csrbank2_dfii_pi0_baddress0_r = interface2_bank_bus_dat_w[2:0];
+assign csrbank2_dfii_pi0_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 3'd5));
+assign csrbank2_dfii_pi0_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 3'd5));
+assign csrbank2_dfii_pi0_wrdata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_wrdata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 3'd6));
+assign csrbank2_dfii_pi0_wrdata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 3'd6));
+assign csrbank2_dfii_pi0_wrdata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_wrdata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 3'd7));
+assign csrbank2_dfii_pi0_wrdata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 3'd7));
+assign csrbank2_dfii_pi0_wrdata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_wrdata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd8));
+assign csrbank2_dfii_pi0_wrdata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd8));
+assign csrbank2_dfii_pi0_wrdata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd9));
+assign csrbank2_dfii_pi0_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd9));
+assign csrbank2_dfii_pi0_rddata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_rddata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd10));
+assign csrbank2_dfii_pi0_rddata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd10));
+assign csrbank2_dfii_pi0_rddata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_rddata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd11));
+assign csrbank2_dfii_pi0_rddata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd11));
+assign csrbank2_dfii_pi0_rddata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_rddata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd12));
+assign csrbank2_dfii_pi0_rddata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd12));
+assign csrbank2_dfii_pi0_rddata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_rddata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd13));
+assign csrbank2_dfii_pi0_rddata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd13));
+assign csrbank2_dfii_pi1_command0_r = interface2_bank_bus_dat_w[5:0];
+assign csrbank2_dfii_pi1_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd14));
+assign csrbank2_dfii_pi1_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd14));
+assign litedramcore_phaseinjector1_command_issue_r = interface2_bank_bus_dat_w[0];
+assign litedramcore_phaseinjector1_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd15));
+assign litedramcore_phaseinjector1_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd15));
+assign csrbank2_dfii_pi1_address1_r = interface2_bank_bus_dat_w[5:0];
+assign csrbank2_dfii_pi1_address1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd16));
+assign csrbank2_dfii_pi1_address1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd16));
+assign csrbank2_dfii_pi1_address0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd17));
+assign csrbank2_dfii_pi1_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd17));
+assign csrbank2_dfii_pi1_baddress0_r = interface2_bank_bus_dat_w[2:0];
+assign csrbank2_dfii_pi1_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd18));
+assign csrbank2_dfii_pi1_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd18));
+assign csrbank2_dfii_pi1_wrdata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_wrdata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd19));
+assign csrbank2_dfii_pi1_wrdata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd19));
+assign csrbank2_dfii_pi1_wrdata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_wrdata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd20));
+assign csrbank2_dfii_pi1_wrdata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd20));
+assign csrbank2_dfii_pi1_wrdata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_wrdata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd21));
+assign csrbank2_dfii_pi1_wrdata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd21));
+assign csrbank2_dfii_pi1_wrdata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd22));
+assign csrbank2_dfii_pi1_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd22));
+assign csrbank2_dfii_pi1_rddata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_rddata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd23));
+assign csrbank2_dfii_pi1_rddata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd23));
+assign csrbank2_dfii_pi1_rddata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_rddata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd24));
+assign csrbank2_dfii_pi1_rddata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd24));
+assign csrbank2_dfii_pi1_rddata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_rddata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd25));
+assign csrbank2_dfii_pi1_rddata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd25));
+assign csrbank2_dfii_pi1_rddata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_rddata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd26));
+assign csrbank2_dfii_pi1_rddata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd26));
+assign csrbank2_dfii_pi2_command0_r = interface2_bank_bus_dat_w[5:0];
+assign csrbank2_dfii_pi2_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd27));
+assign csrbank2_dfii_pi2_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd27));
+assign litedramcore_phaseinjector2_command_issue_r = interface2_bank_bus_dat_w[0];
+assign litedramcore_phaseinjector2_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd28));
+assign litedramcore_phaseinjector2_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd28));
+assign csrbank2_dfii_pi2_address1_r = interface2_bank_bus_dat_w[5:0];
+assign csrbank2_dfii_pi2_address1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd29));
+assign csrbank2_dfii_pi2_address1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd29));
+assign csrbank2_dfii_pi2_address0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd30));
+assign csrbank2_dfii_pi2_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd30));
+assign csrbank2_dfii_pi2_baddress0_r = interface2_bank_bus_dat_w[2:0];
+assign csrbank2_dfii_pi2_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd31));
+assign csrbank2_dfii_pi2_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd31));
+assign csrbank2_dfii_pi2_wrdata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_wrdata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd32));
+assign csrbank2_dfii_pi2_wrdata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd32));
+assign csrbank2_dfii_pi2_wrdata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_wrdata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd33));
+assign csrbank2_dfii_pi2_wrdata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd33));
+assign csrbank2_dfii_pi2_wrdata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_wrdata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd34));
+assign csrbank2_dfii_pi2_wrdata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd34));
+assign csrbank2_dfii_pi2_wrdata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd35));
+assign csrbank2_dfii_pi2_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd35));
+assign csrbank2_dfii_pi2_rddata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_rddata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd36));
+assign csrbank2_dfii_pi2_rddata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd36));
+assign csrbank2_dfii_pi2_rddata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_rddata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd37));
+assign csrbank2_dfii_pi2_rddata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd37));
+assign csrbank2_dfii_pi2_rddata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_rddata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd38));
+assign csrbank2_dfii_pi2_rddata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd38));
+assign csrbank2_dfii_pi2_rddata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_rddata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd39));
+assign csrbank2_dfii_pi2_rddata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd39));
+assign csrbank2_dfii_pi3_command0_r = interface2_bank_bus_dat_w[5:0];
+assign csrbank2_dfii_pi3_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd40));
+assign csrbank2_dfii_pi3_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd40));
+assign litedramcore_phaseinjector3_command_issue_r = interface2_bank_bus_dat_w[0];
+assign litedramcore_phaseinjector3_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd41));
+assign litedramcore_phaseinjector3_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd41));
+assign csrbank2_dfii_pi3_address1_r = interface2_bank_bus_dat_w[5:0];
+assign csrbank2_dfii_pi3_address1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd42));
+assign csrbank2_dfii_pi3_address1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd42));
+assign csrbank2_dfii_pi3_address0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd43));
+assign csrbank2_dfii_pi3_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd43));
+assign csrbank2_dfii_pi3_baddress0_r = interface2_bank_bus_dat_w[2:0];
+assign csrbank2_dfii_pi3_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd44));
+assign csrbank2_dfii_pi3_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd44));
+assign csrbank2_dfii_pi3_wrdata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_wrdata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd45));
+assign csrbank2_dfii_pi3_wrdata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd45));
+assign csrbank2_dfii_pi3_wrdata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_wrdata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd46));
+assign csrbank2_dfii_pi3_wrdata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd46));
+assign csrbank2_dfii_pi3_wrdata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_wrdata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd47));
+assign csrbank2_dfii_pi3_wrdata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd47));
+assign csrbank2_dfii_pi3_wrdata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd48));
+assign csrbank2_dfii_pi3_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd48));
+assign csrbank2_dfii_pi3_rddata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_rddata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd49));
+assign csrbank2_dfii_pi3_rddata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd49));
+assign csrbank2_dfii_pi3_rddata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_rddata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd50));
+assign csrbank2_dfii_pi3_rddata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd50));
+assign csrbank2_dfii_pi3_rddata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_rddata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd51));
+assign csrbank2_dfii_pi3_rddata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd51));
+assign csrbank2_dfii_pi3_rddata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_rddata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd52));
+assign csrbank2_dfii_pi3_rddata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd52));
+assign csrbank2_dfii_control0_w = litedramcore_storage[3:0];
+assign csrbank2_dfii_pi0_command0_w = litedramcore_phaseinjector0_command_storage[5:0];
+assign csrbank2_dfii_pi0_address1_w = litedramcore_phaseinjector0_address_storage[13:8];
+assign csrbank2_dfii_pi0_address0_w = litedramcore_phaseinjector0_address_storage[7:0];
+assign csrbank2_dfii_pi0_baddress0_w = litedramcore_phaseinjector0_baddress_storage[2:0];
+assign csrbank2_dfii_pi0_wrdata3_w = litedramcore_phaseinjector0_wrdata_storage[31:24];
+assign csrbank2_dfii_pi0_wrdata2_w = litedramcore_phaseinjector0_wrdata_storage[23:16];
+assign csrbank2_dfii_pi0_wrdata1_w = litedramcore_phaseinjector0_wrdata_storage[15:8];
+assign csrbank2_dfii_pi0_wrdata0_w = litedramcore_phaseinjector0_wrdata_storage[7:0];
+assign csrbank2_dfii_pi0_rddata3_w = litedramcore_phaseinjector0_status[31:24];
+assign csrbank2_dfii_pi0_rddata2_w = litedramcore_phaseinjector0_status[23:16];
+assign csrbank2_dfii_pi0_rddata1_w = litedramcore_phaseinjector0_status[15:8];
+assign csrbank2_dfii_pi0_rddata0_w = litedramcore_phaseinjector0_status[7:0];
+assign litedramcore_phaseinjector0_we = csrbank2_dfii_pi0_rddata0_we;
+assign csrbank2_dfii_pi1_command0_w = litedramcore_phaseinjector1_command_storage[5:0];
+assign csrbank2_dfii_pi1_address1_w = litedramcore_phaseinjector1_address_storage[13:8];
+assign csrbank2_dfii_pi1_address0_w = litedramcore_phaseinjector1_address_storage[7:0];
+assign csrbank2_dfii_pi1_baddress0_w = litedramcore_phaseinjector1_baddress_storage[2:0];
+assign csrbank2_dfii_pi1_wrdata3_w = litedramcore_phaseinjector1_wrdata_storage[31:24];
+assign csrbank2_dfii_pi1_wrdata2_w = litedramcore_phaseinjector1_wrdata_storage[23:16];
+assign csrbank2_dfii_pi1_wrdata1_w = litedramcore_phaseinjector1_wrdata_storage[15:8];
+assign csrbank2_dfii_pi1_wrdata0_w = litedramcore_phaseinjector1_wrdata_storage[7:0];
+assign csrbank2_dfii_pi1_rddata3_w = litedramcore_phaseinjector1_status[31:24];
+assign csrbank2_dfii_pi1_rddata2_w = litedramcore_phaseinjector1_status[23:16];
+assign csrbank2_dfii_pi1_rddata1_w = litedramcore_phaseinjector1_status[15:8];
+assign csrbank2_dfii_pi1_rddata0_w = litedramcore_phaseinjector1_status[7:0];
+assign litedramcore_phaseinjector1_we = csrbank2_dfii_pi1_rddata0_we;
+assign csrbank2_dfii_pi2_command0_w = litedramcore_phaseinjector2_command_storage[5:0];
+assign csrbank2_dfii_pi2_address1_w = litedramcore_phaseinjector2_address_storage[13:8];
+assign csrbank2_dfii_pi2_address0_w = litedramcore_phaseinjector2_address_storage[7:0];
+assign csrbank2_dfii_pi2_baddress0_w = litedramcore_phaseinjector2_baddress_storage[2:0];
+assign csrbank2_dfii_pi2_wrdata3_w = litedramcore_phaseinjector2_wrdata_storage[31:24];
+assign csrbank2_dfii_pi2_wrdata2_w = litedramcore_phaseinjector2_wrdata_storage[23:16];
+assign csrbank2_dfii_pi2_wrdata1_w = litedramcore_phaseinjector2_wrdata_storage[15:8];
+assign csrbank2_dfii_pi2_wrdata0_w = litedramcore_phaseinjector2_wrdata_storage[7:0];
+assign csrbank2_dfii_pi2_rddata3_w = litedramcore_phaseinjector2_status[31:24];
+assign csrbank2_dfii_pi2_rddata2_w = litedramcore_phaseinjector2_status[23:16];
+assign csrbank2_dfii_pi2_rddata1_w = litedramcore_phaseinjector2_status[15:8];
+assign csrbank2_dfii_pi2_rddata0_w = litedramcore_phaseinjector2_status[7:0];
+assign litedramcore_phaseinjector2_we = csrbank2_dfii_pi2_rddata0_we;
+assign csrbank2_dfii_pi3_command0_w = litedramcore_phaseinjector3_command_storage[5:0];
+assign csrbank2_dfii_pi3_address1_w = litedramcore_phaseinjector3_address_storage[13:8];
+assign csrbank2_dfii_pi3_address0_w = litedramcore_phaseinjector3_address_storage[7:0];
+assign csrbank2_dfii_pi3_baddress0_w = litedramcore_phaseinjector3_baddress_storage[2:0];
+assign csrbank2_dfii_pi3_wrdata3_w = litedramcore_phaseinjector3_wrdata_storage[31:24];
+assign csrbank2_dfii_pi3_wrdata2_w = litedramcore_phaseinjector3_wrdata_storage[23:16];
+assign csrbank2_dfii_pi3_wrdata1_w = litedramcore_phaseinjector3_wrdata_storage[15:8];
+assign csrbank2_dfii_pi3_wrdata0_w = litedramcore_phaseinjector3_wrdata_storage[7:0];
+assign csrbank2_dfii_pi3_rddata3_w = litedramcore_phaseinjector3_status[31:24];
+assign csrbank2_dfii_pi3_rddata2_w = litedramcore_phaseinjector3_status[23:16];
+assign csrbank2_dfii_pi3_rddata1_w = litedramcore_phaseinjector3_status[15:8];
+assign csrbank2_dfii_pi3_rddata0_w = litedramcore_phaseinjector3_status[7:0];
+assign litedramcore_phaseinjector3_we = csrbank2_dfii_pi3_rddata0_we;
+assign adr = csr_port_adr;
+assign we = csr_port_we;
+assign dat_w = csr_port_dat_w;
+assign csr_port_dat_r = dat_r;
+assign interface0_bank_bus_adr = adr;
+assign interface1_bank_bus_adr = adr;
+assign interface2_bank_bus_adr = adr;
+assign interface0_bank_bus_we = we;
+assign interface1_bank_bus_we = we;
+assign interface2_bank_bus_we = we;
+assign interface0_bank_bus_dat_w = dat_w;
+assign interface1_bank_bus_dat_w = dat_w;
+assign interface2_bank_bus_dat_w = dat_w;
+assign dat_r = ((interface0_bank_bus_dat_r | interface1_bank_bus_dat_r) | interface2_bank_bus_dat_r);
+
+// synthesis translate_off
+reg dummy_d_285;
// synthesis translate_on
always @(*) begin
- vns_t_array_muxed1 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
+ rhs_array_muxed0 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine0_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[0];
end
1'd1: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine1_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[1];
end
2'd2: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine2_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[2];
end
2'd3: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine3_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[3];
end
3'd4: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine4_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[4];
end
3'd5: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine5_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[5];
end
3'd6: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine6_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[6];
end
default: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine7_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[7];
end
endcase
// synthesis translate_off
- dummy_d_345 = dummy_s;
+ dummy_d_285 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_346;
+reg dummy_d_286;
// synthesis translate_on
always @(*) begin
- vns_t_array_muxed2 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
+ rhs_array_muxed1 <= 14'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine0_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_a;
end
1'd1: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine1_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_a;
end
2'd2: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine2_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_a;
end
2'd3: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine3_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_a;
end
3'd4: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine4_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_a;
end
3'd5: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine5_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_a;
end
3'd6: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine6_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_a;
end
default: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine7_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_a;
end
endcase
// synthesis translate_off
- dummy_d_346 = dummy_s;
+ dummy_d_286 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_347;
+reg dummy_d_287;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed6 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ rhs_array_muxed2 <= 3'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[0];
+ rhs_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_ba;
end
1'd1: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[1];
+ rhs_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_ba;
end
2'd2: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[2];
+ rhs_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_ba;
end
2'd3: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[3];
+ rhs_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_ba;
end
3'd4: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[4];
+ rhs_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_ba;
end
3'd5: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[5];
+ rhs_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_ba;
end
3'd6: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[6];
+ rhs_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_ba;
end
default: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[7];
+ rhs_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_ba;
end
endcase
// synthesis translate_off
- dummy_d_347 = dummy_s;
+ dummy_d_287 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_348;
+reg dummy_d_288;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed7 <= 14'd0;
- case (soc_sdram_choose_req_grant)
+ rhs_array_muxed3 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine0_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_is_read;
end
1'd1: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine1_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_is_read;
end
2'd2: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine2_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_is_read;
end
2'd3: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine3_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_is_read;
end
3'd4: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine4_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_is_read;
end
3'd5: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine5_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_is_read;
end
3'd6: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine6_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_is_read;
end
default: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine7_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_is_read;
end
endcase
// synthesis translate_off
- dummy_d_348 = dummy_s;
+ dummy_d_288 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_349;
+reg dummy_d_289;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed8 <= 3'd0;
- case (soc_sdram_choose_req_grant)
+ rhs_array_muxed4 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine0_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_is_write;
end
1'd1: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine1_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_is_write;
end
2'd2: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine2_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_is_write;
end
2'd3: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine3_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_is_write;
end
3'd4: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine4_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_is_write;
end
3'd5: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine5_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_is_write;
end
3'd6: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine6_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_is_write;
end
default: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine7_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_is_write;
end
endcase
// synthesis translate_off
- dummy_d_349 = dummy_s;
+ dummy_d_289 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_350;
+reg dummy_d_290;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed9 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ rhs_array_muxed5 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine0_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_is_cmd;
end
1'd1: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine1_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_is_cmd;
end
2'd2: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine2_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_is_cmd;
end
2'd3: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine3_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_is_cmd;
end
3'd4: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine4_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_is_cmd;
end
3'd5: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine5_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_is_cmd;
end
3'd6: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine6_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_is_cmd;
end
default: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine7_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_is_cmd;
end
endcase
// synthesis translate_off
- dummy_d_350 = dummy_s;
+ dummy_d_290 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_351;
+reg dummy_d_291;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed10 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ t_array_muxed0 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine0_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine0_cmd_payload_cas;
end
1'd1: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine1_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine1_cmd_payload_cas;
end
2'd2: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine2_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine2_cmd_payload_cas;
end
2'd3: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine3_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine3_cmd_payload_cas;
end
3'd4: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine4_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine4_cmd_payload_cas;
end
3'd5: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine5_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine5_cmd_payload_cas;
end
3'd6: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine6_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine6_cmd_payload_cas;
end
default: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine7_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine7_cmd_payload_cas;
end
endcase
// synthesis translate_off
- dummy_d_351 = dummy_s;
+ dummy_d_291 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_352;
+reg dummy_d_292;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed11 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ t_array_muxed1 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine0_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_ras;
end
1'd1: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine1_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_ras;
end
2'd2: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine2_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_ras;
end
2'd3: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine3_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_ras;
end
3'd4: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine4_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_ras;
end
3'd5: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine5_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_ras;
end
3'd6: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine6_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_ras;
end
default: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine7_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_ras;
end
endcase
// synthesis translate_off
- dummy_d_352 = dummy_s;
+ dummy_d_292 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_353;
+reg dummy_d_293;
// synthesis translate_on
always @(*) begin
- vns_t_array_muxed3 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ t_array_muxed2 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine0_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_we;
end
1'd1: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine1_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_we;
end
2'd2: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine2_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_we;
end
2'd3: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine3_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_we;
end
3'd4: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine4_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_we;
end
3'd5: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine5_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_we;
end
3'd6: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine6_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_we;
end
default: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine7_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_353 = dummy_s;
+ dummy_d_293 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_354;
+reg dummy_d_294;
// synthesis translate_on
always @(*) begin
- vns_t_array_muxed4 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ rhs_array_muxed6 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine0_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[0];
end
1'd1: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine1_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[1];
end
2'd2: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine2_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[2];
end
2'd3: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine3_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[3];
end
3'd4: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine4_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[4];
end
3'd5: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine5_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[5];
end
3'd6: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine6_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[6];
end
default: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine7_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[7];
end
endcase
// synthesis translate_off
- dummy_d_354 = dummy_s;
+ dummy_d_294 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_355;
+reg dummy_d_295;
// synthesis translate_on
always @(*) begin
- vns_t_array_muxed5 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ rhs_array_muxed7 <= 14'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine0_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine0_cmd_payload_a;
end
1'd1: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine1_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine1_cmd_payload_a;
end
2'd2: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine2_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine2_cmd_payload_a;
end
2'd3: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine3_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine3_cmd_payload_a;
end
3'd4: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine4_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine4_cmd_payload_a;
end
3'd5: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine5_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine5_cmd_payload_a;
end
3'd6: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine6_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine6_cmd_payload_a;
end
default: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine7_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine7_cmd_payload_a;
end
endcase
// synthesis translate_off
- dummy_d_355 = dummy_s;
+ dummy_d_295 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_356;
+reg dummy_d_296;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed12 <= 21'd0;
- case (vns_roundrobin0_grant)
+ rhs_array_muxed8 <= 3'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed12 <= {soc_port_cmd_payload_addr[23:10], soc_port_cmd_payload_addr[6:0]};
+ rhs_array_muxed8 <= litedramcore_bankmachine0_cmd_payload_ba;
end
- default: begin
- vns_rhs_array_muxed12 <= {soc_cmd_payload_addr[23:10], soc_cmd_payload_addr[6:0]};
+ 1'd1: begin
+ rhs_array_muxed8 <= litedramcore_bankmachine1_cmd_payload_ba;
end
- endcase
-// synthesis translate_off
- dummy_d_356 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_357;
-// synthesis translate_on
-always @(*) begin
- vns_rhs_array_muxed13 <= 1'd0;
- case (vns_roundrobin0_grant)
- 1'd0: begin
- vns_rhs_array_muxed13 <= soc_port_cmd_payload_we;
+ 2'd2: begin
+ rhs_array_muxed8 <= litedramcore_bankmachine2_cmd_payload_ba;
+ end
+ 2'd3: begin
+ rhs_array_muxed8 <= litedramcore_bankmachine3_cmd_payload_ba;
+ end
+ 3'd4: begin
+ rhs_array_muxed8 <= litedramcore_bankmachine4_cmd_payload_ba;
+ end
+ 3'd5: begin
+ rhs_array_muxed8 <= litedramcore_bankmachine5_cmd_payload_ba;
+ end
+ 3'd6: begin
+ rhs_array_muxed8 <= litedramcore_bankmachine6_cmd_payload_ba;
end
default: begin
- vns_rhs_array_muxed13 <= soc_cmd_payload_we;
+ rhs_array_muxed8 <= litedramcore_bankmachine7_cmd_payload_ba;
end
endcase
// synthesis translate_off
- dummy_d_357 = dummy_s;
+ dummy_d_296 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_358;
+reg dummy_d_297;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed14 <= 1'd0;
- case (vns_roundrobin0_grant)
+ rhs_array_muxed9 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed14 <= (((soc_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked0 | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
+ rhs_array_muxed9 <= litedramcore_bankmachine0_cmd_payload_is_read;
+ end
+ 1'd1: begin
+ rhs_array_muxed9 <= litedramcore_bankmachine1_cmd_payload_is_read;
+ end
+ 2'd2: begin
+ rhs_array_muxed9 <= litedramcore_bankmachine2_cmd_payload_is_read;
+ end
+ 2'd3: begin
+ rhs_array_muxed9 <= litedramcore_bankmachine3_cmd_payload_is_read;
+ end
+ 3'd4: begin
+ rhs_array_muxed9 <= litedramcore_bankmachine4_cmd_payload_is_read;
+ end
+ 3'd5: begin
+ rhs_array_muxed9 <= litedramcore_bankmachine5_cmd_payload_is_read;
+ end
+ 3'd6: begin
+ rhs_array_muxed9 <= litedramcore_bankmachine6_cmd_payload_is_read;
end
default: begin
- vns_rhs_array_muxed14 <= (((soc_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked1 | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed9 <= litedramcore_bankmachine7_cmd_payload_is_read;
end
endcase
// synthesis translate_off
- dummy_d_358 = dummy_s;
+ dummy_d_297 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_359;
+reg dummy_d_298;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed15 <= 21'd0;
- case (vns_roundrobin1_grant)
+ rhs_array_muxed10 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed15 <= {soc_port_cmd_payload_addr[23:10], soc_port_cmd_payload_addr[6:0]};
+ rhs_array_muxed10 <= litedramcore_bankmachine0_cmd_payload_is_write;
+ end
+ 1'd1: begin
+ rhs_array_muxed10 <= litedramcore_bankmachine1_cmd_payload_is_write;
+ end
+ 2'd2: begin
+ rhs_array_muxed10 <= litedramcore_bankmachine2_cmd_payload_is_write;
+ end
+ 2'd3: begin
+ rhs_array_muxed10 <= litedramcore_bankmachine3_cmd_payload_is_write;
+ end
+ 3'd4: begin
+ rhs_array_muxed10 <= litedramcore_bankmachine4_cmd_payload_is_write;
+ end
+ 3'd5: begin
+ rhs_array_muxed10 <= litedramcore_bankmachine5_cmd_payload_is_write;
+ end
+ 3'd6: begin
+ rhs_array_muxed10 <= litedramcore_bankmachine6_cmd_payload_is_write;
end
default: begin
- vns_rhs_array_muxed15 <= {soc_cmd_payload_addr[23:10], soc_cmd_payload_addr[6:0]};
+ rhs_array_muxed10 <= litedramcore_bankmachine7_cmd_payload_is_write;
end
endcase
// synthesis translate_off
- dummy_d_359 = dummy_s;
+ dummy_d_298 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_360;
+reg dummy_d_299;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed16 <= 1'd0;
- case (vns_roundrobin1_grant)
+ rhs_array_muxed11 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed16 <= soc_port_cmd_payload_we;
+ rhs_array_muxed11 <= litedramcore_bankmachine0_cmd_payload_is_cmd;
+ end
+ 1'd1: begin
+ rhs_array_muxed11 <= litedramcore_bankmachine1_cmd_payload_is_cmd;
+ end
+ 2'd2: begin
+ rhs_array_muxed11 <= litedramcore_bankmachine2_cmd_payload_is_cmd;
+ end
+ 2'd3: begin
+ rhs_array_muxed11 <= litedramcore_bankmachine3_cmd_payload_is_cmd;
+ end
+ 3'd4: begin
+ rhs_array_muxed11 <= litedramcore_bankmachine4_cmd_payload_is_cmd;
+ end
+ 3'd5: begin
+ rhs_array_muxed11 <= litedramcore_bankmachine5_cmd_payload_is_cmd;
+ end
+ 3'd6: begin
+ rhs_array_muxed11 <= litedramcore_bankmachine6_cmd_payload_is_cmd;
end
default: begin
- vns_rhs_array_muxed16 <= soc_cmd_payload_we;
+ rhs_array_muxed11 <= litedramcore_bankmachine7_cmd_payload_is_cmd;
end
endcase
// synthesis translate_off
- dummy_d_360 = dummy_s;
+ dummy_d_299 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_361;
+reg dummy_d_300;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed17 <= 1'd0;
- case (vns_roundrobin1_grant)
+ t_array_muxed3 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed17 <= (((soc_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked2 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
+ t_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_cas;
+ end
+ 1'd1: begin
+ t_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_cas;
+ end
+ 2'd2: begin
+ t_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_cas;
+ end
+ 2'd3: begin
+ t_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_cas;
+ end
+ 3'd4: begin
+ t_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_cas;
+ end
+ 3'd5: begin
+ t_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_cas;
+ end
+ 3'd6: begin
+ t_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_cas;
end
default: begin
- vns_rhs_array_muxed17 <= (((soc_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked3 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ t_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_cas;
end
endcase
// synthesis translate_off
- dummy_d_361 = dummy_s;
+ dummy_d_300 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_362;
+reg dummy_d_301;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed18 <= 21'd0;
- case (vns_roundrobin2_grant)
+ t_array_muxed4 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed18 <= {soc_port_cmd_payload_addr[23:10], soc_port_cmd_payload_addr[6:0]};
+ t_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_ras;
+ end
+ 1'd1: begin
+ t_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_ras;
+ end
+ 2'd2: begin
+ t_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_ras;
+ end
+ 2'd3: begin
+ t_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_ras;
+ end
+ 3'd4: begin
+ t_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_ras;
+ end
+ 3'd5: begin
+ t_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_ras;
+ end
+ 3'd6: begin
+ t_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_ras;
end
default: begin
- vns_rhs_array_muxed18 <= {soc_cmd_payload_addr[23:10], soc_cmd_payload_addr[6:0]};
+ t_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_ras;
end
endcase
// synthesis translate_off
- dummy_d_362 = dummy_s;
+ dummy_d_301 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_363;
+reg dummy_d_302;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed19 <= 1'd0;
- case (vns_roundrobin2_grant)
+ t_array_muxed5 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed19 <= soc_port_cmd_payload_we;
+ t_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_we;
+ end
+ 1'd1: begin
+ t_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_we;
+ end
+ 2'd2: begin
+ t_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_we;
+ end
+ 2'd3: begin
+ t_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_we;
+ end
+ 3'd4: begin
+ t_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_we;
+ end
+ 3'd5: begin
+ t_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_we;
+ end
+ 3'd6: begin
+ t_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_we;
end
default: begin
- vns_rhs_array_muxed19 <= soc_cmd_payload_we;
+ t_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_363 = dummy_s;
+ dummy_d_302 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_364;
+reg dummy_d_303;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed20 <= 1'd0;
- case (vns_roundrobin2_grant)
- 1'd0: begin
- vns_rhs_array_muxed20 <= (((soc_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked4 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
- end
+ rhs_array_muxed12 <= 21'd0;
+ case (roundrobin0_grant)
default: begin
- vns_rhs_array_muxed20 <= (((soc_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked5 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed12 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_364 = dummy_s;
+ dummy_d_303 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_365;
+reg dummy_d_304;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed21 <= 21'd0;
- case (vns_roundrobin3_grant)
- 1'd0: begin
- vns_rhs_array_muxed21 <= {soc_port_cmd_payload_addr[23:10], soc_port_cmd_payload_addr[6:0]};
- end
+ rhs_array_muxed13 <= 1'd0;
+ case (roundrobin0_grant)
default: begin
- vns_rhs_array_muxed21 <= {soc_cmd_payload_addr[23:10], soc_cmd_payload_addr[6:0]};
+ rhs_array_muxed13 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_365 = dummy_s;
+ dummy_d_304 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_366;
+reg dummy_d_305;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed22 <= 1'd0;
- case (vns_roundrobin3_grant)
- 1'd0: begin
- vns_rhs_array_muxed22 <= soc_port_cmd_payload_we;
- end
+ rhs_array_muxed14 <= 1'd0;
+ case (roundrobin0_grant)
default: begin
- vns_rhs_array_muxed22 <= soc_cmd_payload_we;
+ rhs_array_muxed14 <= (((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((locked0 | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_366 = dummy_s;
+ dummy_d_305 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_367;
+reg dummy_d_306;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed23 <= 1'd0;
- case (vns_roundrobin3_grant)
- 1'd0: begin
- vns_rhs_array_muxed23 <= (((soc_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked6 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
- end
+ rhs_array_muxed15 <= 21'd0;
+ case (roundrobin1_grant)
default: begin
- vns_rhs_array_muxed23 <= (((soc_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked7 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed15 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_367 = dummy_s;
+ dummy_d_306 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_368;
+reg dummy_d_307;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed24 <= 21'd0;
- case (vns_roundrobin4_grant)
- 1'd0: begin
- vns_rhs_array_muxed24 <= {soc_port_cmd_payload_addr[23:10], soc_port_cmd_payload_addr[6:0]};
- end
+ rhs_array_muxed16 <= 1'd0;
+ case (roundrobin1_grant)
default: begin
- vns_rhs_array_muxed24 <= {soc_cmd_payload_addr[23:10], soc_cmd_payload_addr[6:0]};
+ rhs_array_muxed16 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_368 = dummy_s;
+ dummy_d_307 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_369;
+reg dummy_d_308;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed25 <= 1'd0;
- case (vns_roundrobin4_grant)
- 1'd0: begin
- vns_rhs_array_muxed25 <= soc_port_cmd_payload_we;
- end
+ rhs_array_muxed17 <= 1'd0;
+ case (roundrobin1_grant)
default: begin
- vns_rhs_array_muxed25 <= soc_cmd_payload_we;
+ rhs_array_muxed17 <= (((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((locked1 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_369 = dummy_s;
+ dummy_d_308 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_370;
+reg dummy_d_309;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed26 <= 1'd0;
- case (vns_roundrobin4_grant)
- 1'd0: begin
- vns_rhs_array_muxed26 <= (((soc_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked8 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
- end
+ rhs_array_muxed18 <= 21'd0;
+ case (roundrobin2_grant)
default: begin
- vns_rhs_array_muxed26 <= (((soc_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked9 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed18 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_370 = dummy_s;
+ dummy_d_309 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_371;
+reg dummy_d_310;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed27 <= 21'd0;
- case (vns_roundrobin5_grant)
- 1'd0: begin
- vns_rhs_array_muxed27 <= {soc_port_cmd_payload_addr[23:10], soc_port_cmd_payload_addr[6:0]};
- end
+ rhs_array_muxed19 <= 1'd0;
+ case (roundrobin2_grant)
default: begin
- vns_rhs_array_muxed27 <= {soc_cmd_payload_addr[23:10], soc_cmd_payload_addr[6:0]};
+ rhs_array_muxed19 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_371 = dummy_s;
+ dummy_d_310 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_372;
+reg dummy_d_311;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed28 <= 1'd0;
- case (vns_roundrobin5_grant)
- 1'd0: begin
- vns_rhs_array_muxed28 <= soc_port_cmd_payload_we;
- end
+ rhs_array_muxed20 <= 1'd0;
+ case (roundrobin2_grant)
default: begin
- vns_rhs_array_muxed28 <= soc_cmd_payload_we;
+ rhs_array_muxed20 <= (((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((locked2 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_372 = dummy_s;
+ dummy_d_311 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_373;
+reg dummy_d_312;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed29 <= 1'd0;
- case (vns_roundrobin5_grant)
- 1'd0: begin
- vns_rhs_array_muxed29 <= (((soc_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked10 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
- end
+ rhs_array_muxed21 <= 21'd0;
+ case (roundrobin3_grant)
default: begin
- vns_rhs_array_muxed29 <= (((soc_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked11 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed21 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_373 = dummy_s;
+ dummy_d_312 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_374;
+reg dummy_d_313;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed30 <= 21'd0;
- case (vns_roundrobin6_grant)
- 1'd0: begin
- vns_rhs_array_muxed30 <= {soc_port_cmd_payload_addr[23:10], soc_port_cmd_payload_addr[6:0]};
- end
+ rhs_array_muxed22 <= 1'd0;
+ case (roundrobin3_grant)
default: begin
- vns_rhs_array_muxed30 <= {soc_cmd_payload_addr[23:10], soc_cmd_payload_addr[6:0]};
+ rhs_array_muxed22 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_374 = dummy_s;
+ dummy_d_313 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_375;
+reg dummy_d_314;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed31 <= 1'd0;
- case (vns_roundrobin6_grant)
- 1'd0: begin
- vns_rhs_array_muxed31 <= soc_port_cmd_payload_we;
- end
+ rhs_array_muxed23 <= 1'd0;
+ case (roundrobin3_grant)
default: begin
- vns_rhs_array_muxed31 <= soc_cmd_payload_we;
+ rhs_array_muxed23 <= (((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((locked3 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_375 = dummy_s;
+ dummy_d_314 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_376;
+reg dummy_d_315;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed32 <= 1'd0;
- case (vns_roundrobin6_grant)
- 1'd0: begin
- vns_rhs_array_muxed32 <= (((soc_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked12 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
- end
+ rhs_array_muxed24 <= 21'd0;
+ case (roundrobin4_grant)
default: begin
- vns_rhs_array_muxed32 <= (((soc_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked13 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed24 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_376 = dummy_s;
+ dummy_d_315 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_377;
+reg dummy_d_316;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed33 <= 21'd0;
- case (vns_roundrobin7_grant)
- 1'd0: begin
- vns_rhs_array_muxed33 <= {soc_port_cmd_payload_addr[23:10], soc_port_cmd_payload_addr[6:0]};
- end
+ rhs_array_muxed25 <= 1'd0;
+ case (roundrobin4_grant)
default: begin
- vns_rhs_array_muxed33 <= {soc_cmd_payload_addr[23:10], soc_cmd_payload_addr[6:0]};
+ rhs_array_muxed25 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_377 = dummy_s;
+ dummy_d_316 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_378;
+reg dummy_d_317;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed34 <= 1'd0;
- case (vns_roundrobin7_grant)
- 1'd0: begin
- vns_rhs_array_muxed34 <= soc_port_cmd_payload_we;
- end
+ rhs_array_muxed26 <= 1'd0;
+ case (roundrobin4_grant)
default: begin
- vns_rhs_array_muxed34 <= soc_cmd_payload_we;
+ rhs_array_muxed26 <= (((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((locked4 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_378 = dummy_s;
+ dummy_d_317 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_379;
+reg dummy_d_318;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed35 <= 1'd0;
- case (vns_roundrobin7_grant)
- 1'd0: begin
- vns_rhs_array_muxed35 <= (((soc_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked14 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))))) & soc_port_cmd_valid);
- end
+ rhs_array_muxed27 <= 21'd0;
+ case (roundrobin5_grant)
default: begin
- vns_rhs_array_muxed35 <= (((soc_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked15 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed27 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_379 = dummy_s;
+ dummy_d_318 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_380;
+reg dummy_d_319;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed36 <= 30'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed36 <= soc_litedramcore_cpu_ibus_adr;
- end
+ rhs_array_muxed28 <= 1'd0;
+ case (roundrobin5_grant)
default: begin
- vns_rhs_array_muxed36 <= soc_litedramcore_cpu_dbus_adr;
+ rhs_array_muxed28 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_380 = dummy_s;
+ dummy_d_319 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_381;
+reg dummy_d_320;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed37 <= 32'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed37 <= soc_litedramcore_cpu_ibus_dat_w;
- end
+ rhs_array_muxed29 <= 1'd0;
+ case (roundrobin5_grant)
default: begin
- vns_rhs_array_muxed37 <= soc_litedramcore_cpu_dbus_dat_w;
+ rhs_array_muxed29 <= (((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((locked5 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_381 = dummy_s;
+ dummy_d_320 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_382;
+reg dummy_d_321;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed38 <= 4'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed38 <= soc_litedramcore_cpu_ibus_sel;
- end
+ rhs_array_muxed30 <= 21'd0;
+ case (roundrobin6_grant)
default: begin
- vns_rhs_array_muxed38 <= soc_litedramcore_cpu_dbus_sel;
+ rhs_array_muxed30 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_382 = dummy_s;
+ dummy_d_321 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_383;
+reg dummy_d_322;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed39 <= 1'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed39 <= soc_litedramcore_cpu_ibus_cyc;
- end
+ rhs_array_muxed31 <= 1'd0;
+ case (roundrobin6_grant)
default: begin
- vns_rhs_array_muxed39 <= soc_litedramcore_cpu_dbus_cyc;
+ rhs_array_muxed31 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_383 = dummy_s;
+ dummy_d_322 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_384;
+reg dummy_d_323;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed40 <= 1'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed40 <= soc_litedramcore_cpu_ibus_stb;
- end
+ rhs_array_muxed32 <= 1'd0;
+ case (roundrobin6_grant)
default: begin
- vns_rhs_array_muxed40 <= soc_litedramcore_cpu_dbus_stb;
+ rhs_array_muxed32 <= (((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((locked6 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_384 = dummy_s;
+ dummy_d_323 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_385;
+reg dummy_d_324;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed41 <= 1'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed41 <= soc_litedramcore_cpu_ibus_we;
- end
+ rhs_array_muxed33 <= 21'd0;
+ case (roundrobin7_grant)
default: begin
- vns_rhs_array_muxed41 <= soc_litedramcore_cpu_dbus_we;
+ rhs_array_muxed33 <= {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_385 = dummy_s;
+ dummy_d_324 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_386;
+reg dummy_d_325;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed42 <= 3'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed42 <= soc_litedramcore_cpu_ibus_cti;
- end
+ rhs_array_muxed34 <= 1'd0;
+ case (roundrobin7_grant)
default: begin
- vns_rhs_array_muxed42 <= soc_litedramcore_cpu_dbus_cti;
+ rhs_array_muxed34 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_386 = dummy_s;
+ dummy_d_325 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_387;
+reg dummy_d_326;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed43 <= 2'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed43 <= soc_litedramcore_cpu_ibus_bte;
- end
+ rhs_array_muxed35 <= 1'd0;
+ case (roundrobin7_grant)
default: begin
- vns_rhs_array_muxed43 <= soc_litedramcore_cpu_dbus_bte;
+ rhs_array_muxed35 <= (((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((locked7 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_387 = dummy_s;
+ dummy_d_326 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_388;
+reg dummy_d_327;
// synthesis translate_on
always @(*) begin
- vns_array_muxed0 <= 3'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed0 <= 3'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed0 <= soc_sdram_nop_ba[2:0];
+ array_muxed0 <= litedramcore_nop_ba[2:0];
end
1'd1: begin
- vns_array_muxed0 <= soc_sdram_choose_cmd_cmd_payload_ba[2:0];
+ array_muxed0 <= litedramcore_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
- vns_array_muxed0 <= soc_sdram_choose_req_cmd_payload_ba[2:0];
+ array_muxed0 <= litedramcore_choose_req_cmd_payload_ba[2:0];
end
default: begin
- vns_array_muxed0 <= soc_sdram_cmd_payload_ba[2:0];
+ array_muxed0 <= litedramcore_cmd_payload_ba[2:0];
end
endcase
// synthesis translate_off
- dummy_d_388 = dummy_s;
+ dummy_d_327 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_389;
+reg dummy_d_328;
// synthesis translate_on
always @(*) begin
- vns_array_muxed1 <= 14'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed1 <= 14'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed1 <= soc_sdram_nop_a;
+ array_muxed1 <= litedramcore_nop_a;
end
1'd1: begin
- vns_array_muxed1 <= soc_sdram_choose_cmd_cmd_payload_a;
+ array_muxed1 <= litedramcore_choose_cmd_cmd_payload_a;
end
2'd2: begin
- vns_array_muxed1 <= soc_sdram_choose_req_cmd_payload_a;
+ array_muxed1 <= litedramcore_choose_req_cmd_payload_a;
end
default: begin
- vns_array_muxed1 <= soc_sdram_cmd_payload_a;
+ array_muxed1 <= litedramcore_cmd_payload_a;
end
endcase
// synthesis translate_off
- dummy_d_389 = dummy_s;
+ dummy_d_328 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_390;
+reg dummy_d_329;
// synthesis translate_on
always @(*) begin
- vns_array_muxed2 <= 1'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed2 <= 1'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed2 <= 1'd0;
+ array_muxed2 <= 1'd0;
end
1'd1: begin
- vns_array_muxed2 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_cas);
+ array_muxed2 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
end
2'd2: begin
- vns_array_muxed2 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_cas);
+ array_muxed2 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
end
default: begin
- vns_array_muxed2 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_cas);
+ array_muxed2 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
end
endcase
// synthesis translate_off
- dummy_d_390 = dummy_s;
+ dummy_d_329 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_391;
+reg dummy_d_330;
// synthesis translate_on
always @(*) begin
- vns_array_muxed3 <= 1'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed3 <= 1'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed3 <= 1'd0;
+ array_muxed3 <= 1'd0;
end
1'd1: begin
- vns_array_muxed3 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_ras);
+ array_muxed3 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
end
2'd2: begin
- vns_array_muxed3 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_ras);
+ array_muxed3 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
end
default: begin
- vns_array_muxed3 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_ras);
+ array_muxed3 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
end
endcase
// synthesis translate_off
- dummy_d_391 = dummy_s;
+ dummy_d_330 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_392;
+reg dummy_d_331;
// synthesis translate_on
always @(*) begin
- vns_array_muxed4 <= 1'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed4 <= 1'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed4 <= 1'd0;
+ array_muxed4 <= 1'd0;
end
1'd1: begin
- vns_array_muxed4 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_we);
+ array_muxed4 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
end
2'd2: begin
- vns_array_muxed4 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_we);
+ array_muxed4 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
end
default: begin
- vns_array_muxed4 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_we);
+ array_muxed4 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
end
endcase
// synthesis translate_off
- dummy_d_392 = dummy_s;
+ dummy_d_331 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_393;
+reg dummy_d_332;
// synthesis translate_on
always @(*) begin
- vns_array_muxed5 <= 1'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed5 <= 1'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed5 <= 1'd0;
+ array_muxed5 <= 1'd0;
end
1'd1: begin
- vns_array_muxed5 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_read);
+ array_muxed5 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
- vns_array_muxed5 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_read);
+ array_muxed5 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
end
default: begin
- vns_array_muxed5 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_read);
+ array_muxed5 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
end
endcase
// synthesis translate_off
- dummy_d_393 = dummy_s;
+ dummy_d_332 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_394;
+reg dummy_d_333;
// synthesis translate_on
always @(*) begin
- vns_array_muxed6 <= 1'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed6 <= 1'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed6 <= 1'd0;
+ array_muxed6 <= 1'd0;
end
1'd1: begin
- vns_array_muxed6 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_write);
+ array_muxed6 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
- vns_array_muxed6 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_write);
+ array_muxed6 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
end
default: begin
- vns_array_muxed6 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_write);
+ array_muxed6 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
end
endcase
// synthesis translate_off
- dummy_d_394 = dummy_s;
+ dummy_d_333 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_395;
+reg dummy_d_334;
// synthesis translate_on
always @(*) begin
- vns_array_muxed7 <= 3'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed7 <= 3'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed7 <= soc_sdram_nop_ba[2:0];
+ array_muxed7 <= litedramcore_nop_ba[2:0];
end
1'd1: begin
- vns_array_muxed7 <= soc_sdram_choose_cmd_cmd_payload_ba[2:0];
+ array_muxed7 <= litedramcore_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
- vns_array_muxed7 <= soc_sdram_choose_req_cmd_payload_ba[2:0];
+ array_muxed7 <= litedramcore_choose_req_cmd_payload_ba[2:0];
end
default: begin
- vns_array_muxed7 <= soc_sdram_cmd_payload_ba[2:0];
+ array_muxed7 <= litedramcore_cmd_payload_ba[2:0];
end
endcase
// synthesis translate_off
- dummy_d_395 = dummy_s;
+ dummy_d_334 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_396;
+reg dummy_d_335;
// synthesis translate_on
always @(*) begin
- vns_array_muxed8 <= 14'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed8 <= 14'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed8 <= soc_sdram_nop_a;
+ array_muxed8 <= litedramcore_nop_a;
end
1'd1: begin
- vns_array_muxed8 <= soc_sdram_choose_cmd_cmd_payload_a;
+ array_muxed8 <= litedramcore_choose_cmd_cmd_payload_a;
end
2'd2: begin
- vns_array_muxed8 <= soc_sdram_choose_req_cmd_payload_a;
+ array_muxed8 <= litedramcore_choose_req_cmd_payload_a;
end
default: begin
- vns_array_muxed8 <= soc_sdram_cmd_payload_a;
+ array_muxed8 <= litedramcore_cmd_payload_a;
end
endcase
// synthesis translate_off
- dummy_d_396 = dummy_s;
+ dummy_d_335 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_397;
+reg dummy_d_336;
// synthesis translate_on
always @(*) begin
- vns_array_muxed9 <= 1'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed9 <= 1'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed9 <= 1'd0;
+ array_muxed9 <= 1'd0;
end
1'd1: begin
- vns_array_muxed9 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_cas);
+ array_muxed9 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
end
2'd2: begin
- vns_array_muxed9 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_cas);
+ array_muxed9 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
end
default: begin
- vns_array_muxed9 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_cas);
+ array_muxed9 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
end
endcase
// synthesis translate_off
- dummy_d_397 = dummy_s;
+ dummy_d_336 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_398;
+reg dummy_d_337;
// synthesis translate_on
always @(*) begin
- vns_array_muxed10 <= 1'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed10 <= 1'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed10 <= 1'd0;
+ array_muxed10 <= 1'd0;
end
1'd1: begin
- vns_array_muxed10 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_ras);
+ array_muxed10 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
end
2'd2: begin
- vns_array_muxed10 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_ras);
+ array_muxed10 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
end
default: begin
- vns_array_muxed10 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_ras);
+ array_muxed10 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
end
endcase
// synthesis translate_off
- dummy_d_398 = dummy_s;
+ dummy_d_337 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_399;
+reg dummy_d_338;
// synthesis translate_on
always @(*) begin
- vns_array_muxed11 <= 1'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed11 <= 1'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed11 <= 1'd0;
+ array_muxed11 <= 1'd0;
end
1'd1: begin
- vns_array_muxed11 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_we);
+ array_muxed11 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
end
2'd2: begin
- vns_array_muxed11 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_we);
+ array_muxed11 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
end
default: begin
- vns_array_muxed11 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_we);
+ array_muxed11 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
end
endcase
// synthesis translate_off
- dummy_d_399 = dummy_s;
+ dummy_d_338 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_400;
+reg dummy_d_339;
// synthesis translate_on
always @(*) begin
- vns_array_muxed12 <= 1'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed12 <= 1'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed12 <= 1'd0;
+ array_muxed12 <= 1'd0;
end
1'd1: begin
- vns_array_muxed12 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_read);
+ array_muxed12 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
- vns_array_muxed12 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_read);
+ array_muxed12 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
end
default: begin
- vns_array_muxed12 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_read);
+ array_muxed12 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
end
endcase
// synthesis translate_off
- dummy_d_400 = dummy_s;
+ dummy_d_339 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_401;
+reg dummy_d_340;
// synthesis translate_on
always @(*) begin
- vns_array_muxed13 <= 1'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed13 <= 1'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed13 <= 1'd0;
+ array_muxed13 <= 1'd0;
end
1'd1: begin
- vns_array_muxed13 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_write);
+ array_muxed13 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
- vns_array_muxed13 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_write);
+ array_muxed13 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
end
default: begin
- vns_array_muxed13 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_write);
+ array_muxed13 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
end
endcase
// synthesis translate_off
- dummy_d_401 = dummy_s;
+ dummy_d_340 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_402;
+reg dummy_d_341;
// synthesis translate_on
always @(*) begin
- vns_array_muxed14 <= 3'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed14 <= 3'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed14 <= soc_sdram_nop_ba[2:0];
+ array_muxed14 <= litedramcore_nop_ba[2:0];
end
1'd1: begin
- vns_array_muxed14 <= soc_sdram_choose_cmd_cmd_payload_ba[2:0];
+ array_muxed14 <= litedramcore_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
- vns_array_muxed14 <= soc_sdram_choose_req_cmd_payload_ba[2:0];
+ array_muxed14 <= litedramcore_choose_req_cmd_payload_ba[2:0];
end
default: begin
- vns_array_muxed14 <= soc_sdram_cmd_payload_ba[2:0];
+ array_muxed14 <= litedramcore_cmd_payload_ba[2:0];
end
endcase
// synthesis translate_off
- dummy_d_402 = dummy_s;
+ dummy_d_341 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_403;
+reg dummy_d_342;
// synthesis translate_on
always @(*) begin
- vns_array_muxed15 <= 14'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed15 <= 14'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed15 <= soc_sdram_nop_a;
+ array_muxed15 <= litedramcore_nop_a;
end
1'd1: begin
- vns_array_muxed15 <= soc_sdram_choose_cmd_cmd_payload_a;
+ array_muxed15 <= litedramcore_choose_cmd_cmd_payload_a;
end
2'd2: begin
- vns_array_muxed15 <= soc_sdram_choose_req_cmd_payload_a;
+ array_muxed15 <= litedramcore_choose_req_cmd_payload_a;
end
default: begin
- vns_array_muxed15 <= soc_sdram_cmd_payload_a;
+ array_muxed15 <= litedramcore_cmd_payload_a;
end
endcase
// synthesis translate_off
- dummy_d_403 = dummy_s;
+ dummy_d_342 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_404;
+reg dummy_d_343;
// synthesis translate_on
always @(*) begin
- vns_array_muxed16 <= 1'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed16 <= 1'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed16 <= 1'd0;
+ array_muxed16 <= 1'd0;
end
1'd1: begin
- vns_array_muxed16 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_cas);
+ array_muxed16 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
end
2'd2: begin
- vns_array_muxed16 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_cas);
+ array_muxed16 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
end
default: begin
- vns_array_muxed16 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_cas);
+ array_muxed16 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
end
endcase
// synthesis translate_off
- dummy_d_404 = dummy_s;
+ dummy_d_343 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_405;
+reg dummy_d_344;
// synthesis translate_on
always @(*) begin
- vns_array_muxed17 <= 1'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed17 <= 1'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed17 <= 1'd0;
+ array_muxed17 <= 1'd0;
end
1'd1: begin
- vns_array_muxed17 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_ras);
+ array_muxed17 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
end
2'd2: begin
- vns_array_muxed17 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_ras);
+ array_muxed17 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
end
default: begin
- vns_array_muxed17 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_ras);
+ array_muxed17 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
end
endcase
// synthesis translate_off
- dummy_d_405 = dummy_s;
+ dummy_d_344 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_406;
+reg dummy_d_345;
// synthesis translate_on
always @(*) begin
- vns_array_muxed18 <= 1'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed18 <= 1'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed18 <= 1'd0;
+ array_muxed18 <= 1'd0;
end
1'd1: begin
- vns_array_muxed18 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_we);
+ array_muxed18 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
end
2'd2: begin
- vns_array_muxed18 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_we);
+ array_muxed18 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
end
default: begin
- vns_array_muxed18 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_we);
+ array_muxed18 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
end
endcase
// synthesis translate_off
- dummy_d_406 = dummy_s;
+ dummy_d_345 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_407;
+reg dummy_d_346;
// synthesis translate_on
always @(*) begin
- vns_array_muxed19 <= 1'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed19 <= 1'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed19 <= 1'd0;
+ array_muxed19 <= 1'd0;
end
1'd1: begin
- vns_array_muxed19 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_read);
+ array_muxed19 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
- vns_array_muxed19 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_read);
+ array_muxed19 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
end
default: begin
- vns_array_muxed19 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_read);
+ array_muxed19 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
end
endcase
// synthesis translate_off
- dummy_d_407 = dummy_s;
+ dummy_d_346 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_408;
+reg dummy_d_347;
// synthesis translate_on
always @(*) begin
- vns_array_muxed20 <= 1'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed20 <= 1'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed20 <= 1'd0;
+ array_muxed20 <= 1'd0;
end
1'd1: begin
- vns_array_muxed20 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_write);
+ array_muxed20 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
- vns_array_muxed20 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_write);
+ array_muxed20 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
end
default: begin
- vns_array_muxed20 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_write);
+ array_muxed20 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
end
endcase
// synthesis translate_off
- dummy_d_408 = dummy_s;
+ dummy_d_347 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_409;
+reg dummy_d_348;
// synthesis translate_on
always @(*) begin
- vns_array_muxed21 <= 3'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed21 <= 3'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed21 <= soc_sdram_nop_ba[2:0];
+ array_muxed21 <= litedramcore_nop_ba[2:0];
end
1'd1: begin
- vns_array_muxed21 <= soc_sdram_choose_cmd_cmd_payload_ba[2:0];
+ array_muxed21 <= litedramcore_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
- vns_array_muxed21 <= soc_sdram_choose_req_cmd_payload_ba[2:0];
+ array_muxed21 <= litedramcore_choose_req_cmd_payload_ba[2:0];
end
default: begin
- vns_array_muxed21 <= soc_sdram_cmd_payload_ba[2:0];
+ array_muxed21 <= litedramcore_cmd_payload_ba[2:0];
end
endcase
// synthesis translate_off
- dummy_d_409 = dummy_s;
+ dummy_d_348 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_410;
+reg dummy_d_349;
// synthesis translate_on
always @(*) begin
- vns_array_muxed22 <= 14'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed22 <= 14'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed22 <= soc_sdram_nop_a;
+ array_muxed22 <= litedramcore_nop_a;
end
1'd1: begin
- vns_array_muxed22 <= soc_sdram_choose_cmd_cmd_payload_a;
+ array_muxed22 <= litedramcore_choose_cmd_cmd_payload_a;
end
2'd2: begin
- vns_array_muxed22 <= soc_sdram_choose_req_cmd_payload_a;
+ array_muxed22 <= litedramcore_choose_req_cmd_payload_a;
end
default: begin
- vns_array_muxed22 <= soc_sdram_cmd_payload_a;
+ array_muxed22 <= litedramcore_cmd_payload_a;
end
endcase
// synthesis translate_off
- dummy_d_410 = dummy_s;
+ dummy_d_349 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_411;
+reg dummy_d_350;
// synthesis translate_on
always @(*) begin
- vns_array_muxed23 <= 1'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed23 <= 1'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed23 <= 1'd0;
+ array_muxed23 <= 1'd0;
end
1'd1: begin
- vns_array_muxed23 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_cas);
+ array_muxed23 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
end
2'd2: begin
- vns_array_muxed23 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_cas);
+ array_muxed23 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
end
default: begin
- vns_array_muxed23 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_cas);
+ array_muxed23 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
end
endcase
// synthesis translate_off
- dummy_d_411 = dummy_s;
+ dummy_d_350 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_412;
+reg dummy_d_351;
// synthesis translate_on
always @(*) begin
- vns_array_muxed24 <= 1'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed24 <= 1'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed24 <= 1'd0;
+ array_muxed24 <= 1'd0;
end
1'd1: begin
- vns_array_muxed24 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_ras);
+ array_muxed24 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
end
2'd2: begin
- vns_array_muxed24 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_ras);
+ array_muxed24 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
end
default: begin
- vns_array_muxed24 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_ras);
+ array_muxed24 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
end
endcase
// synthesis translate_off
- dummy_d_412 = dummy_s;
+ dummy_d_351 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_413;
+reg dummy_d_352;
// synthesis translate_on
always @(*) begin
- vns_array_muxed25 <= 1'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed25 <= 1'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed25 <= 1'd0;
+ array_muxed25 <= 1'd0;
end
1'd1: begin
- vns_array_muxed25 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_we);
+ array_muxed25 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
end
2'd2: begin
- vns_array_muxed25 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_we);
+ array_muxed25 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
end
default: begin
- vns_array_muxed25 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_we);
+ array_muxed25 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
end
endcase
// synthesis translate_off
- dummy_d_413 = dummy_s;
+ dummy_d_352 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_414;
+reg dummy_d_353;
// synthesis translate_on
always @(*) begin
- vns_array_muxed26 <= 1'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed26 <= 1'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed26 <= 1'd0;
+ array_muxed26 <= 1'd0;
end
1'd1: begin
- vns_array_muxed26 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_read);
+ array_muxed26 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
- vns_array_muxed26 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_read);
+ array_muxed26 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
end
default: begin
- vns_array_muxed26 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_read);
+ array_muxed26 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
end
endcase
// synthesis translate_off
- dummy_d_414 = dummy_s;
+ dummy_d_353 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_415;
+reg dummy_d_354;
// synthesis translate_on
always @(*) begin
- vns_array_muxed27 <= 1'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed27 <= 1'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed27 <= 1'd0;
+ array_muxed27 <= 1'd0;
end
1'd1: begin
- vns_array_muxed27 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_write);
+ array_muxed27 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
- vns_array_muxed27 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_write);
+ array_muxed27 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
end
default: begin
- vns_array_muxed27 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_write);
+ array_muxed27 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
end
endcase
// synthesis translate_off
- dummy_d_415 = dummy_s;
-// synthesis translate_on
-end
-assign soc_litedramcore_rx = vns_regs1;
-assign vns_xilinxasyncresetsynchronizerimpl0 = ((~soc_sys_pll_locked) | soc_sys_pll_reset);
-assign vns_xilinxasyncresetsynchronizerimpl1 = ((~soc_sys_pll_locked) | soc_sys_pll_reset);
-assign vns_xilinxasyncresetsynchronizerimpl2 = ((~soc_sys_pll_locked) | soc_sys_pll_reset);
-assign vns_xilinxasyncresetsynchronizerimpl3 = ((~soc_iodelay_pll_locked) | soc_iodelay_pll_reset);
-
-always @(posedge iodelay_clk) begin
- if ((soc_reset_counter != 1'd0)) begin
- soc_reset_counter <= (soc_reset_counter - 1'd1);
- end else begin
- soc_ic_reset <= 1'd0;
- end
- if (iodelay_rst) begin
- soc_reset_counter <= 4'd15;
- soc_ic_reset <= 1'd1;
- end
-end
-
-always @(posedge sys_clk) begin
- if ((soc_litedramcore_soccontroller_bus_errors != 32'd4294967295)) begin
- if (soc_litedramcore_soccontroller_bus_error) begin
- soc_litedramcore_soccontroller_bus_errors <= (soc_litedramcore_soccontroller_bus_errors + 1'd1);
- end
- end
- soc_litedramcore_litedramcore_ram_bus_ack <= 1'd0;
- if (((soc_litedramcore_litedramcore_ram_bus_cyc & soc_litedramcore_litedramcore_ram_bus_stb) & (~soc_litedramcore_litedramcore_ram_bus_ack))) begin
- soc_litedramcore_litedramcore_ram_bus_ack <= 1'd1;
- end
- soc_litedramcore_ram_bus_ram_bus_ack <= 1'd0;
- if (((soc_litedramcore_ram_bus_ram_bus_cyc & soc_litedramcore_ram_bus_ram_bus_stb) & (~soc_litedramcore_ram_bus_ram_bus_ack))) begin
- soc_litedramcore_ram_bus_ram_bus_ack <= 1'd1;
- end
- soc_litedramcore_sink_ready <= 1'd0;
- if (((soc_litedramcore_sink_valid & (~soc_litedramcore_tx_busy)) & (~soc_litedramcore_sink_ready))) begin
- soc_litedramcore_tx_reg <= soc_litedramcore_sink_payload_data;
- soc_litedramcore_tx_bitcount <= 1'd0;
- soc_litedramcore_tx_busy <= 1'd1;
- serial_tx <= 1'd0;
- end else begin
- if ((soc_litedramcore_uart_clk_txen & soc_litedramcore_tx_busy)) begin
- soc_litedramcore_tx_bitcount <= (soc_litedramcore_tx_bitcount + 1'd1);
- if ((soc_litedramcore_tx_bitcount == 4'd8)) begin
- serial_tx <= 1'd1;
- end else begin
- if ((soc_litedramcore_tx_bitcount == 4'd9)) begin
- serial_tx <= 1'd1;
- soc_litedramcore_tx_busy <= 1'd0;
- soc_litedramcore_sink_ready <= 1'd1;
- end else begin
- serial_tx <= soc_litedramcore_tx_reg[0];
- soc_litedramcore_tx_reg <= {1'd0, soc_litedramcore_tx_reg[7:1]};
- end
- end
- end
- end
- if (soc_litedramcore_tx_busy) begin
- {soc_litedramcore_uart_clk_txen, soc_litedramcore_phase_accumulator_tx} <= (soc_litedramcore_phase_accumulator_tx + soc_litedramcore_storage);
- end else begin
- {soc_litedramcore_uart_clk_txen, soc_litedramcore_phase_accumulator_tx} <= 1'd0;
- end
- soc_litedramcore_source_valid <= 1'd0;
- soc_litedramcore_rx_r <= soc_litedramcore_rx;
- if ((~soc_litedramcore_rx_busy)) begin
- if (((~soc_litedramcore_rx) & soc_litedramcore_rx_r)) begin
- soc_litedramcore_rx_busy <= 1'd1;
- soc_litedramcore_rx_bitcount <= 1'd0;
- end
- end else begin
- if (soc_litedramcore_uart_clk_rxen) begin
- soc_litedramcore_rx_bitcount <= (soc_litedramcore_rx_bitcount + 1'd1);
- if ((soc_litedramcore_rx_bitcount == 1'd0)) begin
- if (soc_litedramcore_rx) begin
- soc_litedramcore_rx_busy <= 1'd0;
- end
- end else begin
- if ((soc_litedramcore_rx_bitcount == 4'd9)) begin
- soc_litedramcore_rx_busy <= 1'd0;
- if (soc_litedramcore_rx) begin
- soc_litedramcore_source_payload_data <= soc_litedramcore_rx_reg;
- soc_litedramcore_source_valid <= 1'd1;
- end
- end else begin
- soc_litedramcore_rx_reg <= {soc_litedramcore_rx, soc_litedramcore_rx_reg[7:1]};
- end
- end
- end
- end
- if (soc_litedramcore_rx_busy) begin
- {soc_litedramcore_uart_clk_rxen, soc_litedramcore_phase_accumulator_rx} <= (soc_litedramcore_phase_accumulator_rx + soc_litedramcore_storage);
- end else begin
- {soc_litedramcore_uart_clk_rxen, soc_litedramcore_phase_accumulator_rx} <= 32'd2147483648;
- end
- if (soc_litedramcore_uart_tx_clear) begin
- soc_litedramcore_uart_tx_pending <= 1'd0;
- end
- soc_litedramcore_uart_tx_old_trigger <= soc_litedramcore_uart_tx_trigger;
- if (((~soc_litedramcore_uart_tx_trigger) & soc_litedramcore_uart_tx_old_trigger)) begin
- soc_litedramcore_uart_tx_pending <= 1'd1;
- end
- if (soc_litedramcore_uart_rx_clear) begin
- soc_litedramcore_uart_rx_pending <= 1'd0;
- end
- soc_litedramcore_uart_rx_old_trigger <= soc_litedramcore_uart_rx_trigger;
- if (((~soc_litedramcore_uart_rx_trigger) & soc_litedramcore_uart_rx_old_trigger)) begin
- soc_litedramcore_uart_rx_pending <= 1'd1;
- end
- if (soc_litedramcore_uart_tx_fifo_syncfifo_re) begin
- soc_litedramcore_uart_tx_fifo_readable <= 1'd1;
- end else begin
- if (soc_litedramcore_uart_tx_fifo_re) begin
- soc_litedramcore_uart_tx_fifo_readable <= 1'd0;
- end
- end
- if (((soc_litedramcore_uart_tx_fifo_syncfifo_we & soc_litedramcore_uart_tx_fifo_syncfifo_writable) & (~soc_litedramcore_uart_tx_fifo_replace))) begin
- soc_litedramcore_uart_tx_fifo_produce <= (soc_litedramcore_uart_tx_fifo_produce + 1'd1);
- end
- if (soc_litedramcore_uart_tx_fifo_do_read) begin
- soc_litedramcore_uart_tx_fifo_consume <= (soc_litedramcore_uart_tx_fifo_consume + 1'd1);
- end
- if (((soc_litedramcore_uart_tx_fifo_syncfifo_we & soc_litedramcore_uart_tx_fifo_syncfifo_writable) & (~soc_litedramcore_uart_tx_fifo_replace))) begin
- if ((~soc_litedramcore_uart_tx_fifo_do_read)) begin
- soc_litedramcore_uart_tx_fifo_level0 <= (soc_litedramcore_uart_tx_fifo_level0 + 1'd1);
- end
- end else begin
- if (soc_litedramcore_uart_tx_fifo_do_read) begin
- soc_litedramcore_uart_tx_fifo_level0 <= (soc_litedramcore_uart_tx_fifo_level0 - 1'd1);
- end
- end
- if (soc_litedramcore_uart_rx_fifo_syncfifo_re) begin
- soc_litedramcore_uart_rx_fifo_readable <= 1'd1;
- end else begin
- if (soc_litedramcore_uart_rx_fifo_re) begin
- soc_litedramcore_uart_rx_fifo_readable <= 1'd0;
- end
- end
- if (((soc_litedramcore_uart_rx_fifo_syncfifo_we & soc_litedramcore_uart_rx_fifo_syncfifo_writable) & (~soc_litedramcore_uart_rx_fifo_replace))) begin
- soc_litedramcore_uart_rx_fifo_produce <= (soc_litedramcore_uart_rx_fifo_produce + 1'd1);
- end
- if (soc_litedramcore_uart_rx_fifo_do_read) begin
- soc_litedramcore_uart_rx_fifo_consume <= (soc_litedramcore_uart_rx_fifo_consume + 1'd1);
- end
- if (((soc_litedramcore_uart_rx_fifo_syncfifo_we & soc_litedramcore_uart_rx_fifo_syncfifo_writable) & (~soc_litedramcore_uart_rx_fifo_replace))) begin
- if ((~soc_litedramcore_uart_rx_fifo_do_read)) begin
- soc_litedramcore_uart_rx_fifo_level0 <= (soc_litedramcore_uart_rx_fifo_level0 + 1'd1);
- end
- end else begin
- if (soc_litedramcore_uart_rx_fifo_do_read) begin
- soc_litedramcore_uart_rx_fifo_level0 <= (soc_litedramcore_uart_rx_fifo_level0 - 1'd1);
- end
- end
- if (soc_litedramcore_uart_reset) begin
- soc_litedramcore_uart_tx_pending <= 1'd0;
- soc_litedramcore_uart_tx_old_trigger <= 1'd0;
- soc_litedramcore_uart_rx_pending <= 1'd0;
- soc_litedramcore_uart_rx_old_trigger <= 1'd0;
- soc_litedramcore_uart_tx_fifo_readable <= 1'd0;
- soc_litedramcore_uart_tx_fifo_level0 <= 5'd0;
- soc_litedramcore_uart_tx_fifo_produce <= 4'd0;
- soc_litedramcore_uart_tx_fifo_consume <= 4'd0;
- soc_litedramcore_uart_rx_fifo_readable <= 1'd0;
- soc_litedramcore_uart_rx_fifo_level0 <= 5'd0;
- soc_litedramcore_uart_rx_fifo_produce <= 4'd0;
- soc_litedramcore_uart_rx_fifo_consume <= 4'd0;
- end
- if (soc_litedramcore_timer_en_storage) begin
- if ((soc_litedramcore_timer_value == 1'd0)) begin
- soc_litedramcore_timer_value <= soc_litedramcore_timer_reload_storage;
- end else begin
- soc_litedramcore_timer_value <= (soc_litedramcore_timer_value - 1'd1);
- end
+ dummy_d_354 = dummy_s;
+// synthesis translate_on
+end
+assign xilinxasyncresetsynchronizerimpl0 = ((~sys_pll_locked) | sys_pll_reset);
+assign xilinxasyncresetsynchronizerimpl1 = ((~sys_pll_locked) | sys_pll_reset);
+assign xilinxasyncresetsynchronizerimpl2 = ((~sys_pll_locked) | sys_pll_reset);
+assign xilinxasyncresetsynchronizerimpl3 = ((~iodelay_pll_locked) | iodelay_pll_reset);
+
+always @(posedge iodelay_clk) begin
+ if ((reset_counter != 1'd0)) begin
+ reset_counter <= (reset_counter - 1'd1);
end else begin
- soc_litedramcore_timer_value <= soc_litedramcore_timer_load_storage;
- end
- if (soc_litedramcore_timer_update_value_re) begin
- soc_litedramcore_timer_value_status <= soc_litedramcore_timer_value;
+ ic_reset <= 1'd0;
end
- if (soc_litedramcore_timer_zero_clear) begin
- soc_litedramcore_timer_zero_pending <= 1'd0;
- end
- soc_litedramcore_timer_zero_old_trigger <= soc_litedramcore_timer_zero_trigger;
- if (((~soc_litedramcore_timer_zero_trigger) & soc_litedramcore_timer_zero_old_trigger)) begin
- soc_litedramcore_timer_zero_pending <= 1'd1;
+ if (iodelay_rst) begin
+ reset_counter <= 4'd15;
+ ic_reset <= 1'd1;
end
- vns_wb2csr_state <= vns_wb2csr_next_state;
- soc_a7ddrphy_dqs_oe_delayed <= ((soc_a7ddrphy_dqspattern0 | soc_a7ddrphy_dqs_oe) | soc_a7ddrphy_dqspattern1);
- soc_a7ddrphy_dq_oe_delayed <= ((soc_a7ddrphy_dqspattern0 | soc_a7ddrphy_dq_oe) | soc_a7ddrphy_dqspattern1);
- soc_a7ddrphy_rddata_en_last <= soc_a7ddrphy_rddata_en;
- soc_a7ddrphy_dfi_p0_rddata_valid <= (soc_a7ddrphy_rddata_en[7] | soc_a7ddrphy_wlevel_en_storage);
- soc_a7ddrphy_dfi_p1_rddata_valid <= (soc_a7ddrphy_rddata_en[7] | soc_a7ddrphy_wlevel_en_storage);
- soc_a7ddrphy_dfi_p2_rddata_valid <= (soc_a7ddrphy_rddata_en[7] | soc_a7ddrphy_wlevel_en_storage);
- soc_a7ddrphy_dfi_p3_rddata_valid <= (soc_a7ddrphy_rddata_en[7] | soc_a7ddrphy_wlevel_en_storage);
- soc_a7ddrphy_wrdata_en_last <= soc_a7ddrphy_wrdata_en;
- soc_a7ddrphy_dqspattern_o1 <= soc_a7ddrphy_dqspattern_o0;
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip0_value <= (soc_a7ddrphy_bitslip0_value + 1'd1);
+end
+
+always @(posedge sys_clk) begin
+ a7ddrphy_dqs_oe_delayed <= ((a7ddrphy_dqspattern0 | a7ddrphy_dqs_oe) | a7ddrphy_dqspattern1);
+ a7ddrphy_dq_oe_delayed <= ((a7ddrphy_dqspattern0 | a7ddrphy_dq_oe) | a7ddrphy_dqspattern1);
+ a7ddrphy_rddata_en_last <= a7ddrphy_rddata_en;
+ a7ddrphy_dfi_p0_rddata_valid <= (a7ddrphy_rddata_en[7] | a7ddrphy_wlevel_en_storage);
+ a7ddrphy_dfi_p1_rddata_valid <= (a7ddrphy_rddata_en[7] | a7ddrphy_wlevel_en_storage);
+ a7ddrphy_dfi_p2_rddata_valid <= (a7ddrphy_rddata_en[7] | a7ddrphy_wlevel_en_storage);
+ a7ddrphy_dfi_p3_rddata_valid <= (a7ddrphy_rddata_en[7] | a7ddrphy_wlevel_en_storage);
+ a7ddrphy_wrdata_en_last <= a7ddrphy_wrdata_en;
+ a7ddrphy_dqspattern_o1 <= a7ddrphy_dqspattern_o0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip0_value <= (a7ddrphy_bitslip0_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip0_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip0_value <= 1'd0;
end
- soc_a7ddrphy_bitslip0_r <= {soc_a7ddrphy_bitslip0_i, soc_a7ddrphy_bitslip0_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip1_value <= (soc_a7ddrphy_bitslip1_value + 1'd1);
+ a7ddrphy_bitslip0_r <= {a7ddrphy_bitslip0_i, a7ddrphy_bitslip0_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip1_value <= (a7ddrphy_bitslip1_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip1_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip1_value <= 1'd0;
end
- soc_a7ddrphy_bitslip1_r <= {soc_a7ddrphy_bitslip1_i, soc_a7ddrphy_bitslip1_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip2_value <= (soc_a7ddrphy_bitslip2_value + 1'd1);
+ a7ddrphy_bitslip1_r <= {a7ddrphy_bitslip1_i, a7ddrphy_bitslip1_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip2_value <= (a7ddrphy_bitslip2_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip2_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip2_value <= 1'd0;
end
- soc_a7ddrphy_bitslip2_r <= {soc_a7ddrphy_bitslip2_i, soc_a7ddrphy_bitslip2_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip3_value <= (soc_a7ddrphy_bitslip3_value + 1'd1);
+ a7ddrphy_bitslip2_r <= {a7ddrphy_bitslip2_i, a7ddrphy_bitslip2_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip3_value <= (a7ddrphy_bitslip3_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip3_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip3_value <= 1'd0;
end
- soc_a7ddrphy_bitslip3_r <= {soc_a7ddrphy_bitslip3_i, soc_a7ddrphy_bitslip3_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip4_value <= (soc_a7ddrphy_bitslip4_value + 1'd1);
+ a7ddrphy_bitslip3_r <= {a7ddrphy_bitslip3_i, a7ddrphy_bitslip3_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip4_value <= (a7ddrphy_bitslip4_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip4_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip4_value <= 1'd0;
end
- soc_a7ddrphy_bitslip4_r <= {soc_a7ddrphy_bitslip4_i, soc_a7ddrphy_bitslip4_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip5_value <= (soc_a7ddrphy_bitslip5_value + 1'd1);
+ a7ddrphy_bitslip4_r <= {a7ddrphy_bitslip4_i, a7ddrphy_bitslip4_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip5_value <= (a7ddrphy_bitslip5_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip5_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip5_value <= 1'd0;
end
- soc_a7ddrphy_bitslip5_r <= {soc_a7ddrphy_bitslip5_i, soc_a7ddrphy_bitslip5_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip6_value <= (soc_a7ddrphy_bitslip6_value + 1'd1);
+ a7ddrphy_bitslip5_r <= {a7ddrphy_bitslip5_i, a7ddrphy_bitslip5_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip6_value <= (a7ddrphy_bitslip6_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip6_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip6_value <= 1'd0;
end
- soc_a7ddrphy_bitslip6_r <= {soc_a7ddrphy_bitslip6_i, soc_a7ddrphy_bitslip6_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip7_value <= (soc_a7ddrphy_bitslip7_value + 1'd1);
+ a7ddrphy_bitslip6_r <= {a7ddrphy_bitslip6_i, a7ddrphy_bitslip6_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip7_value <= (a7ddrphy_bitslip7_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip7_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip7_value <= 1'd0;
end
- soc_a7ddrphy_bitslip7_r <= {soc_a7ddrphy_bitslip7_i, soc_a7ddrphy_bitslip7_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip8_value <= (soc_a7ddrphy_bitslip8_value + 1'd1);
+ a7ddrphy_bitslip7_r <= {a7ddrphy_bitslip7_i, a7ddrphy_bitslip7_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip8_value <= (a7ddrphy_bitslip8_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip8_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip8_value <= 1'd0;
end
- soc_a7ddrphy_bitslip8_r <= {soc_a7ddrphy_bitslip8_i, soc_a7ddrphy_bitslip8_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip9_value <= (soc_a7ddrphy_bitslip9_value + 1'd1);
+ a7ddrphy_bitslip8_r <= {a7ddrphy_bitslip8_i, a7ddrphy_bitslip8_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip9_value <= (a7ddrphy_bitslip9_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip9_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip9_value <= 1'd0;
end
- soc_a7ddrphy_bitslip9_r <= {soc_a7ddrphy_bitslip9_i, soc_a7ddrphy_bitslip9_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip10_value <= (soc_a7ddrphy_bitslip10_value + 1'd1);
+ a7ddrphy_bitslip9_r <= {a7ddrphy_bitslip9_i, a7ddrphy_bitslip9_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip10_value <= (a7ddrphy_bitslip10_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip10_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip10_value <= 1'd0;
end
- soc_a7ddrphy_bitslip10_r <= {soc_a7ddrphy_bitslip10_i, soc_a7ddrphy_bitslip10_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip11_value <= (soc_a7ddrphy_bitslip11_value + 1'd1);
+ a7ddrphy_bitslip10_r <= {a7ddrphy_bitslip10_i, a7ddrphy_bitslip10_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip11_value <= (a7ddrphy_bitslip11_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip11_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip11_value <= 1'd0;
end
- soc_a7ddrphy_bitslip11_r <= {soc_a7ddrphy_bitslip11_i, soc_a7ddrphy_bitslip11_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip12_value <= (soc_a7ddrphy_bitslip12_value + 1'd1);
+ a7ddrphy_bitslip11_r <= {a7ddrphy_bitslip11_i, a7ddrphy_bitslip11_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip12_value <= (a7ddrphy_bitslip12_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip12_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip12_value <= 1'd0;
end
- soc_a7ddrphy_bitslip12_r <= {soc_a7ddrphy_bitslip12_i, soc_a7ddrphy_bitslip12_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip13_value <= (soc_a7ddrphy_bitslip13_value + 1'd1);
+ a7ddrphy_bitslip12_r <= {a7ddrphy_bitslip12_i, a7ddrphy_bitslip12_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip13_value <= (a7ddrphy_bitslip13_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip13_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip13_value <= 1'd0;
end
- soc_a7ddrphy_bitslip13_r <= {soc_a7ddrphy_bitslip13_i, soc_a7ddrphy_bitslip13_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip14_value <= (soc_a7ddrphy_bitslip14_value + 1'd1);
+ a7ddrphy_bitslip13_r <= {a7ddrphy_bitslip13_i, a7ddrphy_bitslip13_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip14_value <= (a7ddrphy_bitslip14_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip14_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip14_value <= 1'd0;
end
- soc_a7ddrphy_bitslip14_r <= {soc_a7ddrphy_bitslip14_i, soc_a7ddrphy_bitslip14_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip15_value <= (soc_a7ddrphy_bitslip15_value + 1'd1);
+ a7ddrphy_bitslip14_r <= {a7ddrphy_bitslip14_i, a7ddrphy_bitslip14_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip15_value <= (a7ddrphy_bitslip15_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip15_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip15_value <= 1'd0;
end
- soc_a7ddrphy_bitslip15_r <= {soc_a7ddrphy_bitslip15_i, soc_a7ddrphy_bitslip15_r[15:8]};
- if (soc_sdram_inti_p0_rddata_valid) begin
- soc_sdram_phaseinjector0_status <= soc_sdram_inti_p0_rddata;
+ a7ddrphy_bitslip15_r <= {a7ddrphy_bitslip15_i, a7ddrphy_bitslip15_r[15:8]};
+ if (litedramcore_inti_p0_rddata_valid) begin
+ litedramcore_phaseinjector0_status <= litedramcore_inti_p0_rddata;
end
- if (soc_sdram_inti_p1_rddata_valid) begin
- soc_sdram_phaseinjector1_status <= soc_sdram_inti_p1_rddata;
+ if (litedramcore_inti_p1_rddata_valid) begin
+ litedramcore_phaseinjector1_status <= litedramcore_inti_p1_rddata;
end
- if (soc_sdram_inti_p2_rddata_valid) begin
- soc_sdram_phaseinjector2_status <= soc_sdram_inti_p2_rddata;
+ if (litedramcore_inti_p2_rddata_valid) begin
+ litedramcore_phaseinjector2_status <= litedramcore_inti_p2_rddata;
end
- if (soc_sdram_inti_p3_rddata_valid) begin
- soc_sdram_phaseinjector3_status <= soc_sdram_inti_p3_rddata;
+ if (litedramcore_inti_p3_rddata_valid) begin
+ litedramcore_phaseinjector3_status <= litedramcore_inti_p3_rddata;
end
- if ((soc_sdram_timer_wait & (~soc_sdram_timer_done0))) begin
- soc_sdram_timer_count1 <= (soc_sdram_timer_count1 - 1'd1);
+ if ((litedramcore_timer_wait & (~litedramcore_timer_done0))) begin
+ litedramcore_timer_count1 <= (litedramcore_timer_count1 - 1'd1);
end else begin
- soc_sdram_timer_count1 <= 10'd781;
+ litedramcore_timer_count1 <= 10'd781;
end
- soc_sdram_postponer_req_o <= 1'd0;
- if (soc_sdram_postponer_req_i) begin
- soc_sdram_postponer_count <= (soc_sdram_postponer_count - 1'd1);
- if ((soc_sdram_postponer_count == 1'd0)) begin
- soc_sdram_postponer_count <= 1'd0;
- soc_sdram_postponer_req_o <= 1'd1;
+ litedramcore_postponer_req_o <= 1'd0;
+ if (litedramcore_postponer_req_i) begin
+ litedramcore_postponer_count <= (litedramcore_postponer_count - 1'd1);
+ if ((litedramcore_postponer_count == 1'd0)) begin
+ litedramcore_postponer_count <= 1'd0;
+ litedramcore_postponer_req_o <= 1'd1;
end
end
- if (soc_sdram_sequencer_start0) begin
- soc_sdram_sequencer_count <= 1'd0;
+ if (litedramcore_sequencer_start0) begin
+ litedramcore_sequencer_count <= 1'd0;
end else begin
- if (soc_sdram_sequencer_done1) begin
- if ((soc_sdram_sequencer_count != 1'd0)) begin
- soc_sdram_sequencer_count <= (soc_sdram_sequencer_count - 1'd1);
- end
- end
- end
- soc_sdram_cmd_payload_a <= 1'd0;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd0;
- soc_sdram_cmd_payload_ras <= 1'd0;
- soc_sdram_cmd_payload_we <= 1'd0;
- soc_sdram_sequencer_done1 <= 1'd0;
- if ((soc_sdram_sequencer_start1 & (soc_sdram_sequencer_counter == 1'd0))) begin
- soc_sdram_cmd_payload_a <= 11'd1024;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd0;
- soc_sdram_cmd_payload_ras <= 1'd1;
- soc_sdram_cmd_payload_we <= 1'd1;
- end
- if ((soc_sdram_sequencer_counter == 2'd3)) begin
- soc_sdram_cmd_payload_a <= 1'd0;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd1;
- soc_sdram_cmd_payload_ras <= 1'd1;
- soc_sdram_cmd_payload_we <= 1'd0;
- end
- if ((soc_sdram_sequencer_counter == 6'd35)) begin
- soc_sdram_cmd_payload_a <= 1'd0;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd0;
- soc_sdram_cmd_payload_ras <= 1'd0;
- soc_sdram_cmd_payload_we <= 1'd0;
- soc_sdram_sequencer_done1 <= 1'd1;
- end
- if ((soc_sdram_sequencer_counter == 6'd35)) begin
- soc_sdram_sequencer_counter <= 1'd0;
+ if (litedramcore_sequencer_done1) begin
+ if ((litedramcore_sequencer_count != 1'd0)) begin
+ litedramcore_sequencer_count <= (litedramcore_sequencer_count - 1'd1);
+ end
+ end
+ end
+ litedramcore_cmd_payload_a <= 1'd0;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd0;
+ litedramcore_cmd_payload_ras <= 1'd0;
+ litedramcore_cmd_payload_we <= 1'd0;
+ litedramcore_sequencer_done1 <= 1'd0;
+ if ((litedramcore_sequencer_start1 & (litedramcore_sequencer_counter == 1'd0))) begin
+ litedramcore_cmd_payload_a <= 11'd1024;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd0;
+ litedramcore_cmd_payload_ras <= 1'd1;
+ litedramcore_cmd_payload_we <= 1'd1;
+ end
+ if ((litedramcore_sequencer_counter == 2'd3)) begin
+ litedramcore_cmd_payload_a <= 1'd0;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd1;
+ litedramcore_cmd_payload_ras <= 1'd1;
+ litedramcore_cmd_payload_we <= 1'd0;
+ end
+ if ((litedramcore_sequencer_counter == 6'd35)) begin
+ litedramcore_cmd_payload_a <= 1'd0;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd0;
+ litedramcore_cmd_payload_ras <= 1'd0;
+ litedramcore_cmd_payload_we <= 1'd0;
+ litedramcore_sequencer_done1 <= 1'd1;
+ end
+ if ((litedramcore_sequencer_counter == 6'd35)) begin
+ litedramcore_sequencer_counter <= 1'd0;
end else begin
- if ((soc_sdram_sequencer_counter != 1'd0)) begin
- soc_sdram_sequencer_counter <= (soc_sdram_sequencer_counter + 1'd1);
+ if ((litedramcore_sequencer_counter != 1'd0)) begin
+ litedramcore_sequencer_counter <= (litedramcore_sequencer_counter + 1'd1);
end else begin
- if (soc_sdram_sequencer_start1) begin
- soc_sdram_sequencer_counter <= 1'd1;
+ if (litedramcore_sequencer_start1) begin
+ litedramcore_sequencer_counter <= 1'd1;
end
end
end
- if ((soc_sdram_zqcs_timer_wait & (~soc_sdram_zqcs_timer_done0))) begin
- soc_sdram_zqcs_timer_count1 <= (soc_sdram_zqcs_timer_count1 - 1'd1);
+ if ((litedramcore_zqcs_timer_wait & (~litedramcore_zqcs_timer_done0))) begin
+ litedramcore_zqcs_timer_count1 <= (litedramcore_zqcs_timer_count1 - 1'd1);
end else begin
- soc_sdram_zqcs_timer_count1 <= 27'd99999999;
- end
- soc_sdram_zqcs_executer_done <= 1'd0;
- if ((soc_sdram_zqcs_executer_start & (soc_sdram_zqcs_executer_counter == 1'd0))) begin
- soc_sdram_cmd_payload_a <= 11'd1024;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd0;
- soc_sdram_cmd_payload_ras <= 1'd1;
- soc_sdram_cmd_payload_we <= 1'd1;
- end
- if ((soc_sdram_zqcs_executer_counter == 2'd3)) begin
- soc_sdram_cmd_payload_a <= 1'd0;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd0;
- soc_sdram_cmd_payload_ras <= 1'd0;
- soc_sdram_cmd_payload_we <= 1'd1;
- end
- if ((soc_sdram_zqcs_executer_counter == 5'd19)) begin
- soc_sdram_cmd_payload_a <= 1'd0;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd0;
- soc_sdram_cmd_payload_ras <= 1'd0;
- soc_sdram_cmd_payload_we <= 1'd0;
- soc_sdram_zqcs_executer_done <= 1'd1;
- end
- if ((soc_sdram_zqcs_executer_counter == 5'd19)) begin
- soc_sdram_zqcs_executer_counter <= 1'd0;
+ litedramcore_zqcs_timer_count1 <= 27'd99999999;
+ end
+ litedramcore_zqcs_executer_done <= 1'd0;
+ if ((litedramcore_zqcs_executer_start & (litedramcore_zqcs_executer_counter == 1'd0))) begin
+ litedramcore_cmd_payload_a <= 11'd1024;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd0;
+ litedramcore_cmd_payload_ras <= 1'd1;
+ litedramcore_cmd_payload_we <= 1'd1;
+ end
+ if ((litedramcore_zqcs_executer_counter == 2'd3)) begin
+ litedramcore_cmd_payload_a <= 1'd0;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd0;
+ litedramcore_cmd_payload_ras <= 1'd0;
+ litedramcore_cmd_payload_we <= 1'd1;
+ end
+ if ((litedramcore_zqcs_executer_counter == 5'd19)) begin
+ litedramcore_cmd_payload_a <= 1'd0;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd0;
+ litedramcore_cmd_payload_ras <= 1'd0;
+ litedramcore_cmd_payload_we <= 1'd0;
+ litedramcore_zqcs_executer_done <= 1'd1;
+ end
+ if ((litedramcore_zqcs_executer_counter == 5'd19)) begin
+ litedramcore_zqcs_executer_counter <= 1'd0;
end else begin
- if ((soc_sdram_zqcs_executer_counter != 1'd0)) begin
- soc_sdram_zqcs_executer_counter <= (soc_sdram_zqcs_executer_counter + 1'd1);
+ if ((litedramcore_zqcs_executer_counter != 1'd0)) begin
+ litedramcore_zqcs_executer_counter <= (litedramcore_zqcs_executer_counter + 1'd1);
end else begin
- if (soc_sdram_zqcs_executer_start) begin
- soc_sdram_zqcs_executer_counter <= 1'd1;
+ if (litedramcore_zqcs_executer_start) begin
+ litedramcore_zqcs_executer_counter <= 1'd1;
end
end
end
- vns_refresher_state <= vns_refresher_next_state;
- if (soc_sdram_bankmachine0_row_close) begin
- soc_sdram_bankmachine0_row_opened <= 1'd0;
+ refresher_state <= refresher_next_state;
+ if (litedramcore_bankmachine0_row_close) begin
+ litedramcore_bankmachine0_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine0_row_open) begin
- soc_sdram_bankmachine0_row_opened <= 1'd1;
- soc_sdram_bankmachine0_row <= soc_sdram_bankmachine0_cmd_buffer_source_payload_addr[20:7];
+ if (litedramcore_bankmachine0_row_open) begin
+ litedramcore_bankmachine0_row_opened <= 1'd1;
+ litedramcore_bankmachine0_row <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[20:7];
end
end
- if (((soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~soc_sdram_bankmachine0_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine0_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine0_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine0_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine0_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~soc_sdram_bankmachine0_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine0_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine0_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine0_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine0_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine0_cmd_buffer_source_valid) | soc_sdram_bankmachine0_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine0_cmd_buffer_source_valid <= soc_sdram_bankmachine0_cmd_buffer_sink_valid;
- soc_sdram_bankmachine0_cmd_buffer_source_first <= soc_sdram_bankmachine0_cmd_buffer_sink_first;
- soc_sdram_bankmachine0_cmd_buffer_source_last <= soc_sdram_bankmachine0_cmd_buffer_sink_last;
- soc_sdram_bankmachine0_cmd_buffer_source_payload_we <= soc_sdram_bankmachine0_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine0_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine0_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine0_cmd_buffer_source_valid <= litedramcore_bankmachine0_cmd_buffer_sink_valid;
+ litedramcore_bankmachine0_cmd_buffer_source_first <= litedramcore_bankmachine0_cmd_buffer_sink_first;
+ litedramcore_bankmachine0_cmd_buffer_source_last <= litedramcore_bankmachine0_cmd_buffer_sink_last;
+ litedramcore_bankmachine0_cmd_buffer_source_payload_we <= litedramcore_bankmachine0_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= litedramcore_bankmachine0_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine0_twtpcon_valid) begin
- soc_sdram_bankmachine0_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine0_twtpcon_valid) begin
+ litedramcore_bankmachine0_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine0_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine0_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine0_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine0_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine0_twtpcon_ready)) begin
- soc_sdram_bankmachine0_twtpcon_count <= (soc_sdram_bankmachine0_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine0_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine0_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine0_twtpcon_ready)) begin
+ litedramcore_bankmachine0_twtpcon_count <= (litedramcore_bankmachine0_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine0_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine0_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine0_trccon_valid) begin
- soc_sdram_bankmachine0_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine0_trccon_valid) begin
+ litedramcore_bankmachine0_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine0_trccon_ready <= 1'd1;
+ litedramcore_bankmachine0_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine0_trccon_ready <= 1'd0;
+ litedramcore_bankmachine0_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine0_trccon_ready)) begin
- soc_sdram_bankmachine0_trccon_count <= (soc_sdram_bankmachine0_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine0_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine0_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine0_trccon_ready)) begin
+ litedramcore_bankmachine0_trccon_count <= (litedramcore_bankmachine0_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine0_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine0_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine0_trascon_valid) begin
- soc_sdram_bankmachine0_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine0_trascon_valid) begin
+ litedramcore_bankmachine0_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine0_trascon_ready <= 1'd1;
+ litedramcore_bankmachine0_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine0_trascon_ready <= 1'd0;
+ litedramcore_bankmachine0_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine0_trascon_ready)) begin
- soc_sdram_bankmachine0_trascon_count <= (soc_sdram_bankmachine0_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine0_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine0_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine0_trascon_ready)) begin
+ litedramcore_bankmachine0_trascon_count <= (litedramcore_bankmachine0_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine0_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine0_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine0_state <= vns_bankmachine0_next_state;
- if (soc_sdram_bankmachine1_row_close) begin
- soc_sdram_bankmachine1_row_opened <= 1'd0;
+ bankmachine0_state <= bankmachine0_next_state;
+ if (litedramcore_bankmachine1_row_close) begin
+ litedramcore_bankmachine1_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine1_row_open) begin
- soc_sdram_bankmachine1_row_opened <= 1'd1;
- soc_sdram_bankmachine1_row <= soc_sdram_bankmachine1_cmd_buffer_source_payload_addr[20:7];
+ if (litedramcore_bankmachine1_row_open) begin
+ litedramcore_bankmachine1_row_opened <= 1'd1;
+ litedramcore_bankmachine1_row <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[20:7];
end
end
- if (((soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~soc_sdram_bankmachine1_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine1_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine1_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine1_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine1_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~soc_sdram_bankmachine1_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine1_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine1_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine1_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine1_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine1_cmd_buffer_source_valid) | soc_sdram_bankmachine1_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine1_cmd_buffer_source_valid <= soc_sdram_bankmachine1_cmd_buffer_sink_valid;
- soc_sdram_bankmachine1_cmd_buffer_source_first <= soc_sdram_bankmachine1_cmd_buffer_sink_first;
- soc_sdram_bankmachine1_cmd_buffer_source_last <= soc_sdram_bankmachine1_cmd_buffer_sink_last;
- soc_sdram_bankmachine1_cmd_buffer_source_payload_we <= soc_sdram_bankmachine1_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine1_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine1_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine1_cmd_buffer_source_valid <= litedramcore_bankmachine1_cmd_buffer_sink_valid;
+ litedramcore_bankmachine1_cmd_buffer_source_first <= litedramcore_bankmachine1_cmd_buffer_sink_first;
+ litedramcore_bankmachine1_cmd_buffer_source_last <= litedramcore_bankmachine1_cmd_buffer_sink_last;
+ litedramcore_bankmachine1_cmd_buffer_source_payload_we <= litedramcore_bankmachine1_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= litedramcore_bankmachine1_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine1_twtpcon_valid) begin
- soc_sdram_bankmachine1_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine1_twtpcon_valid) begin
+ litedramcore_bankmachine1_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine1_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine1_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine1_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine1_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine1_twtpcon_ready)) begin
- soc_sdram_bankmachine1_twtpcon_count <= (soc_sdram_bankmachine1_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine1_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine1_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine1_twtpcon_ready)) begin
+ litedramcore_bankmachine1_twtpcon_count <= (litedramcore_bankmachine1_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine1_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine1_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine1_trccon_valid) begin
- soc_sdram_bankmachine1_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine1_trccon_valid) begin
+ litedramcore_bankmachine1_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine1_trccon_ready <= 1'd1;
+ litedramcore_bankmachine1_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine1_trccon_ready <= 1'd0;
+ litedramcore_bankmachine1_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine1_trccon_ready)) begin
- soc_sdram_bankmachine1_trccon_count <= (soc_sdram_bankmachine1_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine1_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine1_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine1_trccon_ready)) begin
+ litedramcore_bankmachine1_trccon_count <= (litedramcore_bankmachine1_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine1_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine1_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine1_trascon_valid) begin
- soc_sdram_bankmachine1_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine1_trascon_valid) begin
+ litedramcore_bankmachine1_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine1_trascon_ready <= 1'd1;
+ litedramcore_bankmachine1_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine1_trascon_ready <= 1'd0;
+ litedramcore_bankmachine1_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine1_trascon_ready)) begin
- soc_sdram_bankmachine1_trascon_count <= (soc_sdram_bankmachine1_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine1_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine1_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine1_trascon_ready)) begin
+ litedramcore_bankmachine1_trascon_count <= (litedramcore_bankmachine1_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine1_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine1_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine1_state <= vns_bankmachine1_next_state;
- if (soc_sdram_bankmachine2_row_close) begin
- soc_sdram_bankmachine2_row_opened <= 1'd0;
+ bankmachine1_state <= bankmachine1_next_state;
+ if (litedramcore_bankmachine2_row_close) begin
+ litedramcore_bankmachine2_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine2_row_open) begin
- soc_sdram_bankmachine2_row_opened <= 1'd1;
- soc_sdram_bankmachine2_row <= soc_sdram_bankmachine2_cmd_buffer_source_payload_addr[20:7];
+ if (litedramcore_bankmachine2_row_open) begin
+ litedramcore_bankmachine2_row_opened <= 1'd1;
+ litedramcore_bankmachine2_row <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[20:7];
end
end
- if (((soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~soc_sdram_bankmachine2_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine2_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine2_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine2_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine2_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~soc_sdram_bankmachine2_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine2_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine2_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine2_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine2_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine2_cmd_buffer_source_valid) | soc_sdram_bankmachine2_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine2_cmd_buffer_source_valid <= soc_sdram_bankmachine2_cmd_buffer_sink_valid;
- soc_sdram_bankmachine2_cmd_buffer_source_first <= soc_sdram_bankmachine2_cmd_buffer_sink_first;
- soc_sdram_bankmachine2_cmd_buffer_source_last <= soc_sdram_bankmachine2_cmd_buffer_sink_last;
- soc_sdram_bankmachine2_cmd_buffer_source_payload_we <= soc_sdram_bankmachine2_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine2_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine2_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine2_cmd_buffer_source_valid <= litedramcore_bankmachine2_cmd_buffer_sink_valid;
+ litedramcore_bankmachine2_cmd_buffer_source_first <= litedramcore_bankmachine2_cmd_buffer_sink_first;
+ litedramcore_bankmachine2_cmd_buffer_source_last <= litedramcore_bankmachine2_cmd_buffer_sink_last;
+ litedramcore_bankmachine2_cmd_buffer_source_payload_we <= litedramcore_bankmachine2_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= litedramcore_bankmachine2_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine2_twtpcon_valid) begin
- soc_sdram_bankmachine2_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine2_twtpcon_valid) begin
+ litedramcore_bankmachine2_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine2_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine2_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine2_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine2_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine2_twtpcon_ready)) begin
- soc_sdram_bankmachine2_twtpcon_count <= (soc_sdram_bankmachine2_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine2_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine2_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine2_twtpcon_ready)) begin
+ litedramcore_bankmachine2_twtpcon_count <= (litedramcore_bankmachine2_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine2_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine2_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine2_trccon_valid) begin
- soc_sdram_bankmachine2_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine2_trccon_valid) begin
+ litedramcore_bankmachine2_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine2_trccon_ready <= 1'd1;
+ litedramcore_bankmachine2_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine2_trccon_ready <= 1'd0;
+ litedramcore_bankmachine2_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine2_trccon_ready)) begin
- soc_sdram_bankmachine2_trccon_count <= (soc_sdram_bankmachine2_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine2_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine2_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine2_trccon_ready)) begin
+ litedramcore_bankmachine2_trccon_count <= (litedramcore_bankmachine2_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine2_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine2_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine2_trascon_valid) begin
- soc_sdram_bankmachine2_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine2_trascon_valid) begin
+ litedramcore_bankmachine2_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine2_trascon_ready <= 1'd1;
+ litedramcore_bankmachine2_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine2_trascon_ready <= 1'd0;
+ litedramcore_bankmachine2_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine2_trascon_ready)) begin
- soc_sdram_bankmachine2_trascon_count <= (soc_sdram_bankmachine2_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine2_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine2_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine2_trascon_ready)) begin
+ litedramcore_bankmachine2_trascon_count <= (litedramcore_bankmachine2_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine2_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine2_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine2_state <= vns_bankmachine2_next_state;
- if (soc_sdram_bankmachine3_row_close) begin
- soc_sdram_bankmachine3_row_opened <= 1'd0;
+ bankmachine2_state <= bankmachine2_next_state;
+ if (litedramcore_bankmachine3_row_close) begin
+ litedramcore_bankmachine3_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine3_row_open) begin
- soc_sdram_bankmachine3_row_opened <= 1'd1;
- soc_sdram_bankmachine3_row <= soc_sdram_bankmachine3_cmd_buffer_source_payload_addr[20:7];
+ if (litedramcore_bankmachine3_row_open) begin
+ litedramcore_bankmachine3_row_opened <= 1'd1;
+ litedramcore_bankmachine3_row <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[20:7];
end
end
- if (((soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~soc_sdram_bankmachine3_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine3_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine3_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine3_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine3_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~soc_sdram_bankmachine3_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine3_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine3_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine3_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine3_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine3_cmd_buffer_source_valid) | soc_sdram_bankmachine3_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine3_cmd_buffer_source_valid <= soc_sdram_bankmachine3_cmd_buffer_sink_valid;
- soc_sdram_bankmachine3_cmd_buffer_source_first <= soc_sdram_bankmachine3_cmd_buffer_sink_first;
- soc_sdram_bankmachine3_cmd_buffer_source_last <= soc_sdram_bankmachine3_cmd_buffer_sink_last;
- soc_sdram_bankmachine3_cmd_buffer_source_payload_we <= soc_sdram_bankmachine3_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine3_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine3_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine3_cmd_buffer_source_valid <= litedramcore_bankmachine3_cmd_buffer_sink_valid;
+ litedramcore_bankmachine3_cmd_buffer_source_first <= litedramcore_bankmachine3_cmd_buffer_sink_first;
+ litedramcore_bankmachine3_cmd_buffer_source_last <= litedramcore_bankmachine3_cmd_buffer_sink_last;
+ litedramcore_bankmachine3_cmd_buffer_source_payload_we <= litedramcore_bankmachine3_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= litedramcore_bankmachine3_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine3_twtpcon_valid) begin
- soc_sdram_bankmachine3_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine3_twtpcon_valid) begin
+ litedramcore_bankmachine3_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine3_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine3_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine3_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine3_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine3_twtpcon_ready)) begin
- soc_sdram_bankmachine3_twtpcon_count <= (soc_sdram_bankmachine3_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine3_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine3_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine3_twtpcon_ready)) begin
+ litedramcore_bankmachine3_twtpcon_count <= (litedramcore_bankmachine3_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine3_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine3_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine3_trccon_valid) begin
- soc_sdram_bankmachine3_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine3_trccon_valid) begin
+ litedramcore_bankmachine3_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine3_trccon_ready <= 1'd1;
+ litedramcore_bankmachine3_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine3_trccon_ready <= 1'd0;
+ litedramcore_bankmachine3_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine3_trccon_ready)) begin
- soc_sdram_bankmachine3_trccon_count <= (soc_sdram_bankmachine3_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine3_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine3_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine3_trccon_ready)) begin
+ litedramcore_bankmachine3_trccon_count <= (litedramcore_bankmachine3_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine3_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine3_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine3_trascon_valid) begin
- soc_sdram_bankmachine3_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine3_trascon_valid) begin
+ litedramcore_bankmachine3_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine3_trascon_ready <= 1'd1;
+ litedramcore_bankmachine3_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine3_trascon_ready <= 1'd0;
+ litedramcore_bankmachine3_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine3_trascon_ready)) begin
- soc_sdram_bankmachine3_trascon_count <= (soc_sdram_bankmachine3_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine3_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine3_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine3_trascon_ready)) begin
+ litedramcore_bankmachine3_trascon_count <= (litedramcore_bankmachine3_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine3_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine3_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine3_state <= vns_bankmachine3_next_state;
- if (soc_sdram_bankmachine4_row_close) begin
- soc_sdram_bankmachine4_row_opened <= 1'd0;
+ bankmachine3_state <= bankmachine3_next_state;
+ if (litedramcore_bankmachine4_row_close) begin
+ litedramcore_bankmachine4_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine4_row_open) begin
- soc_sdram_bankmachine4_row_opened <= 1'd1;
- soc_sdram_bankmachine4_row <= soc_sdram_bankmachine4_cmd_buffer_source_payload_addr[20:7];
+ if (litedramcore_bankmachine4_row_open) begin
+ litedramcore_bankmachine4_row_opened <= 1'd1;
+ litedramcore_bankmachine4_row <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[20:7];
end
end
- if (((soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~soc_sdram_bankmachine4_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine4_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine4_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine4_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine4_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~soc_sdram_bankmachine4_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine4_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine4_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine4_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine4_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine4_cmd_buffer_source_valid) | soc_sdram_bankmachine4_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine4_cmd_buffer_source_valid <= soc_sdram_bankmachine4_cmd_buffer_sink_valid;
- soc_sdram_bankmachine4_cmd_buffer_source_first <= soc_sdram_bankmachine4_cmd_buffer_sink_first;
- soc_sdram_bankmachine4_cmd_buffer_source_last <= soc_sdram_bankmachine4_cmd_buffer_sink_last;
- soc_sdram_bankmachine4_cmd_buffer_source_payload_we <= soc_sdram_bankmachine4_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine4_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine4_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine4_cmd_buffer_source_valid <= litedramcore_bankmachine4_cmd_buffer_sink_valid;
+ litedramcore_bankmachine4_cmd_buffer_source_first <= litedramcore_bankmachine4_cmd_buffer_sink_first;
+ litedramcore_bankmachine4_cmd_buffer_source_last <= litedramcore_bankmachine4_cmd_buffer_sink_last;
+ litedramcore_bankmachine4_cmd_buffer_source_payload_we <= litedramcore_bankmachine4_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= litedramcore_bankmachine4_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine4_twtpcon_valid) begin
- soc_sdram_bankmachine4_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine4_twtpcon_valid) begin
+ litedramcore_bankmachine4_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine4_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine4_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine4_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine4_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine4_twtpcon_ready)) begin
- soc_sdram_bankmachine4_twtpcon_count <= (soc_sdram_bankmachine4_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine4_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine4_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine4_twtpcon_ready)) begin
+ litedramcore_bankmachine4_twtpcon_count <= (litedramcore_bankmachine4_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine4_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine4_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine4_trccon_valid) begin
- soc_sdram_bankmachine4_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine4_trccon_valid) begin
+ litedramcore_bankmachine4_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine4_trccon_ready <= 1'd1;
+ litedramcore_bankmachine4_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine4_trccon_ready <= 1'd0;
+ litedramcore_bankmachine4_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine4_trccon_ready)) begin
- soc_sdram_bankmachine4_trccon_count <= (soc_sdram_bankmachine4_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine4_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine4_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine4_trccon_ready)) begin
+ litedramcore_bankmachine4_trccon_count <= (litedramcore_bankmachine4_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine4_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine4_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine4_trascon_valid) begin
- soc_sdram_bankmachine4_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine4_trascon_valid) begin
+ litedramcore_bankmachine4_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine4_trascon_ready <= 1'd1;
+ litedramcore_bankmachine4_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine4_trascon_ready <= 1'd0;
+ litedramcore_bankmachine4_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine4_trascon_ready)) begin
- soc_sdram_bankmachine4_trascon_count <= (soc_sdram_bankmachine4_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine4_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine4_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine4_trascon_ready)) begin
+ litedramcore_bankmachine4_trascon_count <= (litedramcore_bankmachine4_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine4_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine4_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine4_state <= vns_bankmachine4_next_state;
- if (soc_sdram_bankmachine5_row_close) begin
- soc_sdram_bankmachine5_row_opened <= 1'd0;
+ bankmachine4_state <= bankmachine4_next_state;
+ if (litedramcore_bankmachine5_row_close) begin
+ litedramcore_bankmachine5_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine5_row_open) begin
- soc_sdram_bankmachine5_row_opened <= 1'd1;
- soc_sdram_bankmachine5_row <= soc_sdram_bankmachine5_cmd_buffer_source_payload_addr[20:7];
+ if (litedramcore_bankmachine5_row_open) begin
+ litedramcore_bankmachine5_row_opened <= 1'd1;
+ litedramcore_bankmachine5_row <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[20:7];
end
end
- if (((soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~soc_sdram_bankmachine5_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine5_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine5_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine5_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine5_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~soc_sdram_bankmachine5_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine5_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine5_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine5_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine5_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine5_cmd_buffer_source_valid) | soc_sdram_bankmachine5_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine5_cmd_buffer_source_valid <= soc_sdram_bankmachine5_cmd_buffer_sink_valid;
- soc_sdram_bankmachine5_cmd_buffer_source_first <= soc_sdram_bankmachine5_cmd_buffer_sink_first;
- soc_sdram_bankmachine5_cmd_buffer_source_last <= soc_sdram_bankmachine5_cmd_buffer_sink_last;
- soc_sdram_bankmachine5_cmd_buffer_source_payload_we <= soc_sdram_bankmachine5_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine5_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine5_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine5_cmd_buffer_source_valid <= litedramcore_bankmachine5_cmd_buffer_sink_valid;
+ litedramcore_bankmachine5_cmd_buffer_source_first <= litedramcore_bankmachine5_cmd_buffer_sink_first;
+ litedramcore_bankmachine5_cmd_buffer_source_last <= litedramcore_bankmachine5_cmd_buffer_sink_last;
+ litedramcore_bankmachine5_cmd_buffer_source_payload_we <= litedramcore_bankmachine5_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= litedramcore_bankmachine5_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine5_twtpcon_valid) begin
- soc_sdram_bankmachine5_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine5_twtpcon_valid) begin
+ litedramcore_bankmachine5_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine5_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine5_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine5_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine5_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine5_twtpcon_ready)) begin
- soc_sdram_bankmachine5_twtpcon_count <= (soc_sdram_bankmachine5_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine5_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine5_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine5_twtpcon_ready)) begin
+ litedramcore_bankmachine5_twtpcon_count <= (litedramcore_bankmachine5_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine5_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine5_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine5_trccon_valid) begin
- soc_sdram_bankmachine5_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine5_trccon_valid) begin
+ litedramcore_bankmachine5_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine5_trccon_ready <= 1'd1;
+ litedramcore_bankmachine5_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine5_trccon_ready <= 1'd0;
+ litedramcore_bankmachine5_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine5_trccon_ready)) begin
- soc_sdram_bankmachine5_trccon_count <= (soc_sdram_bankmachine5_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine5_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine5_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine5_trccon_ready)) begin
+ litedramcore_bankmachine5_trccon_count <= (litedramcore_bankmachine5_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine5_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine5_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine5_trascon_valid) begin
- soc_sdram_bankmachine5_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine5_trascon_valid) begin
+ litedramcore_bankmachine5_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine5_trascon_ready <= 1'd1;
+ litedramcore_bankmachine5_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine5_trascon_ready <= 1'd0;
+ litedramcore_bankmachine5_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine5_trascon_ready)) begin
- soc_sdram_bankmachine5_trascon_count <= (soc_sdram_bankmachine5_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine5_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine5_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine5_trascon_ready)) begin
+ litedramcore_bankmachine5_trascon_count <= (litedramcore_bankmachine5_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine5_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine5_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine5_state <= vns_bankmachine5_next_state;
- if (soc_sdram_bankmachine6_row_close) begin
- soc_sdram_bankmachine6_row_opened <= 1'd0;
+ bankmachine5_state <= bankmachine5_next_state;
+ if (litedramcore_bankmachine6_row_close) begin
+ litedramcore_bankmachine6_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine6_row_open) begin
- soc_sdram_bankmachine6_row_opened <= 1'd1;
- soc_sdram_bankmachine6_row <= soc_sdram_bankmachine6_cmd_buffer_source_payload_addr[20:7];
+ if (litedramcore_bankmachine6_row_open) begin
+ litedramcore_bankmachine6_row_opened <= 1'd1;
+ litedramcore_bankmachine6_row <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[20:7];
end
end
- if (((soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~soc_sdram_bankmachine6_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine6_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine6_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine6_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine6_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~soc_sdram_bankmachine6_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine6_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine6_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine6_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine6_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine6_cmd_buffer_source_valid) | soc_sdram_bankmachine6_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine6_cmd_buffer_source_valid <= soc_sdram_bankmachine6_cmd_buffer_sink_valid;
- soc_sdram_bankmachine6_cmd_buffer_source_first <= soc_sdram_bankmachine6_cmd_buffer_sink_first;
- soc_sdram_bankmachine6_cmd_buffer_source_last <= soc_sdram_bankmachine6_cmd_buffer_sink_last;
- soc_sdram_bankmachine6_cmd_buffer_source_payload_we <= soc_sdram_bankmachine6_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine6_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine6_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine6_cmd_buffer_source_valid <= litedramcore_bankmachine6_cmd_buffer_sink_valid;
+ litedramcore_bankmachine6_cmd_buffer_source_first <= litedramcore_bankmachine6_cmd_buffer_sink_first;
+ litedramcore_bankmachine6_cmd_buffer_source_last <= litedramcore_bankmachine6_cmd_buffer_sink_last;
+ litedramcore_bankmachine6_cmd_buffer_source_payload_we <= litedramcore_bankmachine6_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= litedramcore_bankmachine6_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine6_twtpcon_valid) begin
- soc_sdram_bankmachine6_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine6_twtpcon_valid) begin
+ litedramcore_bankmachine6_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine6_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine6_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine6_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine6_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine6_twtpcon_ready)) begin
- soc_sdram_bankmachine6_twtpcon_count <= (soc_sdram_bankmachine6_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine6_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine6_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine6_twtpcon_ready)) begin
+ litedramcore_bankmachine6_twtpcon_count <= (litedramcore_bankmachine6_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine6_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine6_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine6_trccon_valid) begin
- soc_sdram_bankmachine6_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine6_trccon_valid) begin
+ litedramcore_bankmachine6_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine6_trccon_ready <= 1'd1;
+ litedramcore_bankmachine6_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine6_trccon_ready <= 1'd0;
+ litedramcore_bankmachine6_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine6_trccon_ready)) begin
- soc_sdram_bankmachine6_trccon_count <= (soc_sdram_bankmachine6_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine6_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine6_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine6_trccon_ready)) begin
+ litedramcore_bankmachine6_trccon_count <= (litedramcore_bankmachine6_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine6_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine6_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine6_trascon_valid) begin
- soc_sdram_bankmachine6_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine6_trascon_valid) begin
+ litedramcore_bankmachine6_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine6_trascon_ready <= 1'd1;
+ litedramcore_bankmachine6_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine6_trascon_ready <= 1'd0;
+ litedramcore_bankmachine6_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine6_trascon_ready)) begin
- soc_sdram_bankmachine6_trascon_count <= (soc_sdram_bankmachine6_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine6_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine6_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine6_trascon_ready)) begin
+ litedramcore_bankmachine6_trascon_count <= (litedramcore_bankmachine6_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine6_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine6_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine6_state <= vns_bankmachine6_next_state;
- if (soc_sdram_bankmachine7_row_close) begin
- soc_sdram_bankmachine7_row_opened <= 1'd0;
+ bankmachine6_state <= bankmachine6_next_state;
+ if (litedramcore_bankmachine7_row_close) begin
+ litedramcore_bankmachine7_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine7_row_open) begin
- soc_sdram_bankmachine7_row_opened <= 1'd1;
- soc_sdram_bankmachine7_row <= soc_sdram_bankmachine7_cmd_buffer_source_payload_addr[20:7];
+ if (litedramcore_bankmachine7_row_open) begin
+ litedramcore_bankmachine7_row_opened <= 1'd1;
+ litedramcore_bankmachine7_row <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[20:7];
end
end
- if (((soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~soc_sdram_bankmachine7_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine7_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine7_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine7_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine7_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~soc_sdram_bankmachine7_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine7_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine7_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine7_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine7_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine7_cmd_buffer_source_valid) | soc_sdram_bankmachine7_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine7_cmd_buffer_source_valid <= soc_sdram_bankmachine7_cmd_buffer_sink_valid;
- soc_sdram_bankmachine7_cmd_buffer_source_first <= soc_sdram_bankmachine7_cmd_buffer_sink_first;
- soc_sdram_bankmachine7_cmd_buffer_source_last <= soc_sdram_bankmachine7_cmd_buffer_sink_last;
- soc_sdram_bankmachine7_cmd_buffer_source_payload_we <= soc_sdram_bankmachine7_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine7_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine7_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine7_cmd_buffer_source_valid <= litedramcore_bankmachine7_cmd_buffer_sink_valid;
+ litedramcore_bankmachine7_cmd_buffer_source_first <= litedramcore_bankmachine7_cmd_buffer_sink_first;
+ litedramcore_bankmachine7_cmd_buffer_source_last <= litedramcore_bankmachine7_cmd_buffer_sink_last;
+ litedramcore_bankmachine7_cmd_buffer_source_payload_we <= litedramcore_bankmachine7_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= litedramcore_bankmachine7_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine7_twtpcon_valid) begin
- soc_sdram_bankmachine7_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine7_twtpcon_valid) begin
+ litedramcore_bankmachine7_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine7_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine7_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine7_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine7_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine7_twtpcon_ready)) begin
- soc_sdram_bankmachine7_twtpcon_count <= (soc_sdram_bankmachine7_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine7_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine7_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine7_twtpcon_ready)) begin
+ litedramcore_bankmachine7_twtpcon_count <= (litedramcore_bankmachine7_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine7_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine7_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine7_trccon_valid) begin
- soc_sdram_bankmachine7_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine7_trccon_valid) begin
+ litedramcore_bankmachine7_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine7_trccon_ready <= 1'd1;
+ litedramcore_bankmachine7_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine7_trccon_ready <= 1'd0;
+ litedramcore_bankmachine7_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine7_trccon_ready)) begin
- soc_sdram_bankmachine7_trccon_count <= (soc_sdram_bankmachine7_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine7_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine7_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine7_trccon_ready)) begin
+ litedramcore_bankmachine7_trccon_count <= (litedramcore_bankmachine7_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine7_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine7_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine7_trascon_valid) begin
- soc_sdram_bankmachine7_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine7_trascon_valid) begin
+ litedramcore_bankmachine7_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine7_trascon_ready <= 1'd1;
+ litedramcore_bankmachine7_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine7_trascon_ready <= 1'd0;
+ litedramcore_bankmachine7_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine7_trascon_ready)) begin
- soc_sdram_bankmachine7_trascon_count <= (soc_sdram_bankmachine7_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine7_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine7_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine7_trascon_ready)) begin
+ litedramcore_bankmachine7_trascon_count <= (litedramcore_bankmachine7_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine7_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine7_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine7_state <= vns_bankmachine7_next_state;
- if ((~soc_sdram_en0)) begin
- soc_sdram_time0 <= 5'd31;
+ bankmachine7_state <= bankmachine7_next_state;
+ if ((~litedramcore_en0)) begin
+ litedramcore_time0 <= 5'd31;
end else begin
- if ((~soc_sdram_max_time0)) begin
- soc_sdram_time0 <= (soc_sdram_time0 - 1'd1);
+ if ((~litedramcore_max_time0)) begin
+ litedramcore_time0 <= (litedramcore_time0 - 1'd1);
end
end
- if ((~soc_sdram_en1)) begin
- soc_sdram_time1 <= 4'd15;
+ if ((~litedramcore_en1)) begin
+ litedramcore_time1 <= 4'd15;
end else begin
- if ((~soc_sdram_max_time1)) begin
- soc_sdram_time1 <= (soc_sdram_time1 - 1'd1);
+ if ((~litedramcore_max_time1)) begin
+ litedramcore_time1 <= (litedramcore_time1 - 1'd1);
end
end
- if (soc_sdram_choose_cmd_ce) begin
- case (soc_sdram_choose_cmd_grant)
+ if (litedramcore_choose_cmd_ce) begin
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end
end
end
end
end
1'd1: begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end
end
end
end
end
2'd2: begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end
end
end
end
end
2'd3: begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end
end
end
end
end
3'd4: begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end
end
end
end
end
3'd5: begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end
end
end
end
end
3'd6: begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end
end
end
end
end
3'd7: begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end
end
end
end
endcase
end
- if (soc_sdram_choose_req_ce) begin
- case (soc_sdram_choose_req_grant)
+ if (litedramcore_choose_req_ce) begin
+ case (litedramcore_choose_req_grant)
1'd0: begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end
end
end
end
end
1'd1: begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end
end
end
end
end
2'd2: begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end
end
end
end
end
2'd3: begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end
end
end
end
end
3'd4: begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end
end
end
end
end
3'd5: begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end
end
end
end
end
3'd6: begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end
end
end
end
end
3'd7: begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end
end
end
end
endcase
end
- soc_sdram_dfi_p0_cs_n <= 1'd0;
- soc_sdram_dfi_p0_bank <= vns_array_muxed0;
- soc_sdram_dfi_p0_address <= vns_array_muxed1;
- soc_sdram_dfi_p0_cas_n <= (~vns_array_muxed2);
- soc_sdram_dfi_p0_ras_n <= (~vns_array_muxed3);
- soc_sdram_dfi_p0_we_n <= (~vns_array_muxed4);
- soc_sdram_dfi_p0_rddata_en <= vns_array_muxed5;
- soc_sdram_dfi_p0_wrdata_en <= vns_array_muxed6;
- soc_sdram_dfi_p1_cs_n <= 1'd0;
- soc_sdram_dfi_p1_bank <= vns_array_muxed7;
- soc_sdram_dfi_p1_address <= vns_array_muxed8;
- soc_sdram_dfi_p1_cas_n <= (~vns_array_muxed9);
- soc_sdram_dfi_p1_ras_n <= (~vns_array_muxed10);
- soc_sdram_dfi_p1_we_n <= (~vns_array_muxed11);
- soc_sdram_dfi_p1_rddata_en <= vns_array_muxed12;
- soc_sdram_dfi_p1_wrdata_en <= vns_array_muxed13;
- soc_sdram_dfi_p2_cs_n <= 1'd0;
- soc_sdram_dfi_p2_bank <= vns_array_muxed14;
- soc_sdram_dfi_p2_address <= vns_array_muxed15;
- soc_sdram_dfi_p2_cas_n <= (~vns_array_muxed16);
- soc_sdram_dfi_p2_ras_n <= (~vns_array_muxed17);
- soc_sdram_dfi_p2_we_n <= (~vns_array_muxed18);
- soc_sdram_dfi_p2_rddata_en <= vns_array_muxed19;
- soc_sdram_dfi_p2_wrdata_en <= vns_array_muxed20;
- soc_sdram_dfi_p3_cs_n <= 1'd0;
- soc_sdram_dfi_p3_bank <= vns_array_muxed21;
- soc_sdram_dfi_p3_address <= vns_array_muxed22;
- soc_sdram_dfi_p3_cas_n <= (~vns_array_muxed23);
- soc_sdram_dfi_p3_ras_n <= (~vns_array_muxed24);
- soc_sdram_dfi_p3_we_n <= (~vns_array_muxed25);
- soc_sdram_dfi_p3_rddata_en <= vns_array_muxed26;
- soc_sdram_dfi_p3_wrdata_en <= vns_array_muxed27;
- if (soc_sdram_trrdcon_valid) begin
- soc_sdram_trrdcon_count <= 1'd1;
+ litedramcore_dfi_p0_cs_n <= 1'd0;
+ litedramcore_dfi_p0_bank <= array_muxed0;
+ litedramcore_dfi_p0_address <= array_muxed1;
+ litedramcore_dfi_p0_cas_n <= (~array_muxed2);
+ litedramcore_dfi_p0_ras_n <= (~array_muxed3);
+ litedramcore_dfi_p0_we_n <= (~array_muxed4);
+ litedramcore_dfi_p0_rddata_en <= array_muxed5;
+ litedramcore_dfi_p0_wrdata_en <= array_muxed6;
+ litedramcore_dfi_p1_cs_n <= 1'd0;
+ litedramcore_dfi_p1_bank <= array_muxed7;
+ litedramcore_dfi_p1_address <= array_muxed8;
+ litedramcore_dfi_p1_cas_n <= (~array_muxed9);
+ litedramcore_dfi_p1_ras_n <= (~array_muxed10);
+ litedramcore_dfi_p1_we_n <= (~array_muxed11);
+ litedramcore_dfi_p1_rddata_en <= array_muxed12;
+ litedramcore_dfi_p1_wrdata_en <= array_muxed13;
+ litedramcore_dfi_p2_cs_n <= 1'd0;
+ litedramcore_dfi_p2_bank <= array_muxed14;
+ litedramcore_dfi_p2_address <= array_muxed15;
+ litedramcore_dfi_p2_cas_n <= (~array_muxed16);
+ litedramcore_dfi_p2_ras_n <= (~array_muxed17);
+ litedramcore_dfi_p2_we_n <= (~array_muxed18);
+ litedramcore_dfi_p2_rddata_en <= array_muxed19;
+ litedramcore_dfi_p2_wrdata_en <= array_muxed20;
+ litedramcore_dfi_p3_cs_n <= 1'd0;
+ litedramcore_dfi_p3_bank <= array_muxed21;
+ litedramcore_dfi_p3_address <= array_muxed22;
+ litedramcore_dfi_p3_cas_n <= (~array_muxed23);
+ litedramcore_dfi_p3_ras_n <= (~array_muxed24);
+ litedramcore_dfi_p3_we_n <= (~array_muxed25);
+ litedramcore_dfi_p3_rddata_en <= array_muxed26;
+ litedramcore_dfi_p3_wrdata_en <= array_muxed27;
+ if (litedramcore_trrdcon_valid) begin
+ litedramcore_trrdcon_count <= 1'd1;
if (1'd0) begin
- soc_sdram_trrdcon_ready <= 1'd1;
+ litedramcore_trrdcon_ready <= 1'd1;
end else begin
- soc_sdram_trrdcon_ready <= 1'd0;
+ litedramcore_trrdcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_trrdcon_ready)) begin
- soc_sdram_trrdcon_count <= (soc_sdram_trrdcon_count - 1'd1);
- if ((soc_sdram_trrdcon_count == 1'd1)) begin
- soc_sdram_trrdcon_ready <= 1'd1;
+ if ((~litedramcore_trrdcon_ready)) begin
+ litedramcore_trrdcon_count <= (litedramcore_trrdcon_count - 1'd1);
+ if ((litedramcore_trrdcon_count == 1'd1)) begin
+ litedramcore_trrdcon_ready <= 1'd1;
end
end
end
- soc_sdram_tfawcon_window <= {soc_sdram_tfawcon_window, soc_sdram_tfawcon_valid};
- if ((soc_sdram_tfawcon_count < 3'd4)) begin
- if ((soc_sdram_tfawcon_count == 2'd3)) begin
- soc_sdram_tfawcon_ready <= (~soc_sdram_tfawcon_valid);
+ litedramcore_tfawcon_window <= {litedramcore_tfawcon_window, litedramcore_tfawcon_valid};
+ if ((litedramcore_tfawcon_count < 3'd4)) begin
+ if ((litedramcore_tfawcon_count == 2'd3)) begin
+ litedramcore_tfawcon_ready <= (~litedramcore_tfawcon_valid);
end else begin
- soc_sdram_tfawcon_ready <= 1'd1;
+ litedramcore_tfawcon_ready <= 1'd1;
end
end
- if (soc_sdram_tccdcon_valid) begin
- soc_sdram_tccdcon_count <= 1'd0;
+ if (litedramcore_tccdcon_valid) begin
+ litedramcore_tccdcon_count <= 1'd0;
if (1'd1) begin
- soc_sdram_tccdcon_ready <= 1'd1;
+ litedramcore_tccdcon_ready <= 1'd1;
end else begin
- soc_sdram_tccdcon_ready <= 1'd0;
+ litedramcore_tccdcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_tccdcon_ready)) begin
- soc_sdram_tccdcon_count <= (soc_sdram_tccdcon_count - 1'd1);
- if ((soc_sdram_tccdcon_count == 1'd1)) begin
- soc_sdram_tccdcon_ready <= 1'd1;
+ if ((~litedramcore_tccdcon_ready)) begin
+ litedramcore_tccdcon_count <= (litedramcore_tccdcon_count - 1'd1);
+ if ((litedramcore_tccdcon_count == 1'd1)) begin
+ litedramcore_tccdcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_twtrcon_valid) begin
- soc_sdram_twtrcon_count <= 3'd4;
+ if (litedramcore_twtrcon_valid) begin
+ litedramcore_twtrcon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_twtrcon_ready <= 1'd1;
+ litedramcore_twtrcon_ready <= 1'd1;
end else begin
- soc_sdram_twtrcon_ready <= 1'd0;
- end
- end else begin
- if ((~soc_sdram_twtrcon_ready)) begin
- soc_sdram_twtrcon_count <= (soc_sdram_twtrcon_count - 1'd1);
- if ((soc_sdram_twtrcon_count == 1'd1)) begin
- soc_sdram_twtrcon_ready <= 1'd1;
- end
- end
- end
- vns_multiplexer_state <= vns_multiplexer_next_state;
- vns_new_master_wdata_ready0 <= ((((((((1'd0 | ((vns_roundrobin0_grant == 1'd0) & soc_sdram_interface_bank0_wdata_ready)) | ((vns_roundrobin1_grant == 1'd0) & soc_sdram_interface_bank1_wdata_ready)) | ((vns_roundrobin2_grant == 1'd0) & soc_sdram_interface_bank2_wdata_ready)) | ((vns_roundrobin3_grant == 1'd0) & soc_sdram_interface_bank3_wdata_ready)) | ((vns_roundrobin4_grant == 1'd0) & soc_sdram_interface_bank4_wdata_ready)) | ((vns_roundrobin5_grant == 1'd0) & soc_sdram_interface_bank5_wdata_ready)) | ((vns_roundrobin6_grant == 1'd0) & soc_sdram_interface_bank6_wdata_ready)) | ((vns_roundrobin7_grant == 1'd0) & soc_sdram_interface_bank7_wdata_ready));
- vns_new_master_wdata_ready1 <= vns_new_master_wdata_ready0;
- vns_new_master_wdata_ready2 <= vns_new_master_wdata_ready1;
- vns_new_master_wdata_ready3 <= ((((((((1'd0 | ((vns_roundrobin0_grant == 1'd1) & soc_sdram_interface_bank0_wdata_ready)) | ((vns_roundrobin1_grant == 1'd1) & soc_sdram_interface_bank1_wdata_ready)) | ((vns_roundrobin2_grant == 1'd1) & soc_sdram_interface_bank2_wdata_ready)) | ((vns_roundrobin3_grant == 1'd1) & soc_sdram_interface_bank3_wdata_ready)) | ((vns_roundrobin4_grant == 1'd1) & soc_sdram_interface_bank4_wdata_ready)) | ((vns_roundrobin5_grant == 1'd1) & soc_sdram_interface_bank5_wdata_ready)) | ((vns_roundrobin6_grant == 1'd1) & soc_sdram_interface_bank6_wdata_ready)) | ((vns_roundrobin7_grant == 1'd1) & soc_sdram_interface_bank7_wdata_ready));
- vns_new_master_wdata_ready4 <= vns_new_master_wdata_ready3;
- vns_new_master_wdata_ready5 <= vns_new_master_wdata_ready4;
- vns_new_master_rdata_valid0 <= ((((((((1'd0 | ((vns_roundrobin0_grant == 1'd0) & soc_sdram_interface_bank0_rdata_valid)) | ((vns_roundrobin1_grant == 1'd0) & soc_sdram_interface_bank1_rdata_valid)) | ((vns_roundrobin2_grant == 1'd0) & soc_sdram_interface_bank2_rdata_valid)) | ((vns_roundrobin3_grant == 1'd0) & soc_sdram_interface_bank3_rdata_valid)) | ((vns_roundrobin4_grant == 1'd0) & soc_sdram_interface_bank4_rdata_valid)) | ((vns_roundrobin5_grant == 1'd0) & soc_sdram_interface_bank5_rdata_valid)) | ((vns_roundrobin6_grant == 1'd0) & soc_sdram_interface_bank6_rdata_valid)) | ((vns_roundrobin7_grant == 1'd0) & soc_sdram_interface_bank7_rdata_valid));
- vns_new_master_rdata_valid1 <= vns_new_master_rdata_valid0;
- vns_new_master_rdata_valid2 <= vns_new_master_rdata_valid1;
- vns_new_master_rdata_valid3 <= vns_new_master_rdata_valid2;
- vns_new_master_rdata_valid4 <= vns_new_master_rdata_valid3;
- vns_new_master_rdata_valid5 <= vns_new_master_rdata_valid4;
- vns_new_master_rdata_valid6 <= vns_new_master_rdata_valid5;
- vns_new_master_rdata_valid7 <= vns_new_master_rdata_valid6;
- vns_new_master_rdata_valid8 <= vns_new_master_rdata_valid7;
- vns_new_master_rdata_valid9 <= ((((((((1'd0 | ((vns_roundrobin0_grant == 1'd1) & soc_sdram_interface_bank0_rdata_valid)) | ((vns_roundrobin1_grant == 1'd1) & soc_sdram_interface_bank1_rdata_valid)) | ((vns_roundrobin2_grant == 1'd1) & soc_sdram_interface_bank2_rdata_valid)) | ((vns_roundrobin3_grant == 1'd1) & soc_sdram_interface_bank3_rdata_valid)) | ((vns_roundrobin4_grant == 1'd1) & soc_sdram_interface_bank4_rdata_valid)) | ((vns_roundrobin5_grant == 1'd1) & soc_sdram_interface_bank5_rdata_valid)) | ((vns_roundrobin6_grant == 1'd1) & soc_sdram_interface_bank6_rdata_valid)) | ((vns_roundrobin7_grant == 1'd1) & soc_sdram_interface_bank7_rdata_valid));
- vns_new_master_rdata_valid10 <= vns_new_master_rdata_valid9;
- vns_new_master_rdata_valid11 <= vns_new_master_rdata_valid10;
- vns_new_master_rdata_valid12 <= vns_new_master_rdata_valid11;
- vns_new_master_rdata_valid13 <= vns_new_master_rdata_valid12;
- vns_new_master_rdata_valid14 <= vns_new_master_rdata_valid13;
- vns_new_master_rdata_valid15 <= vns_new_master_rdata_valid14;
- vns_new_master_rdata_valid16 <= vns_new_master_rdata_valid15;
- vns_new_master_rdata_valid17 <= vns_new_master_rdata_valid16;
- if (vns_roundrobin0_ce) begin
- case (vns_roundrobin0_grant)
- 1'd0: begin
- if (vns_roundrobin0_request[1]) begin
- vns_roundrobin0_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin0_request[0]) begin
- vns_roundrobin0_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin1_ce) begin
- case (vns_roundrobin1_grant)
- 1'd0: begin
- if (vns_roundrobin1_request[1]) begin
- vns_roundrobin1_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin1_request[0]) begin
- vns_roundrobin1_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin2_ce) begin
- case (vns_roundrobin2_grant)
- 1'd0: begin
- if (vns_roundrobin2_request[1]) begin
- vns_roundrobin2_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin2_request[0]) begin
- vns_roundrobin2_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin3_ce) begin
- case (vns_roundrobin3_grant)
- 1'd0: begin
- if (vns_roundrobin3_request[1]) begin
- vns_roundrobin3_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin3_request[0]) begin
- vns_roundrobin3_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin4_ce) begin
- case (vns_roundrobin4_grant)
- 1'd0: begin
- if (vns_roundrobin4_request[1]) begin
- vns_roundrobin4_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin4_request[0]) begin
- vns_roundrobin4_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin5_ce) begin
- case (vns_roundrobin5_grant)
- 1'd0: begin
- if (vns_roundrobin5_request[1]) begin
- vns_roundrobin5_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin5_request[0]) begin
- vns_roundrobin5_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin6_ce) begin
- case (vns_roundrobin6_grant)
- 1'd0: begin
- if (vns_roundrobin6_request[1]) begin
- vns_roundrobin6_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin6_request[0]) begin
- vns_roundrobin6_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin7_ce) begin
- case (vns_roundrobin7_grant)
- 1'd0: begin
- if (vns_roundrobin7_request[1]) begin
- vns_roundrobin7_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin7_request[0]) begin
- vns_roundrobin7_grant <= 1'd0;
- end
- end
- endcase
- end
- if (soc_counter_reset) begin
- soc_counter <= 1'd0;
- end else begin
- if (soc_counter_ce) begin
- soc_counter <= (soc_counter + 1'd1);
- end
- end
- if (soc_address_ce) begin
- soc_address_q <= soc_address_d;
- end
- if (soc_address_reset) begin
- soc_address_q <= 30'd0;
- end
- if (soc_need_refill_ce) begin
- soc_need_refill_q <= soc_need_refill_d;
- end
- if (soc_need_refill_reset) begin
- soc_need_refill_q <= 1'd1;
- end
- vns_converter_state <= vns_converter_next_state;
- if (soc_cached_datas_ce0) begin
- soc_cached_datas_flipflop0_q <= soc_cached_datas_flipflop0_d;
- end
- if (soc_cached_datas_reset0) begin
- soc_cached_datas_flipflop0_q <= 32'd0;
- end
- if (soc_cached_datas_ce1) begin
- soc_cached_datas_flipflop1_q <= soc_cached_datas_flipflop1_d;
- end
- if (soc_cached_datas_reset1) begin
- soc_cached_datas_flipflop1_q <= 32'd0;
- end
- if (soc_cached_datas_ce2) begin
- soc_cached_datas_flipflop2_q <= soc_cached_datas_flipflop2_d;
- end
- if (soc_cached_datas_reset2) begin
- soc_cached_datas_flipflop2_q <= 32'd0;
- end
- if (soc_cached_datas_ce3) begin
- soc_cached_datas_flipflop3_q <= soc_cached_datas_flipflop3_d;
- end
- if (soc_cached_datas_reset3) begin
- soc_cached_datas_flipflop3_q <= 32'd0;
- end
- if (soc_cached_sels_ce0) begin
- soc_cached_sels_flipflop0_q <= soc_cached_sels_flipflop0_d;
- end
- if (soc_cached_sels_reset0) begin
- soc_cached_sels_flipflop0_q <= 4'd0;
- end
- if (soc_cached_sels_ce1) begin
- soc_cached_sels_flipflop1_q <= soc_cached_sels_flipflop1_d;
- end
- if (soc_cached_sels_reset1) begin
- soc_cached_sels_flipflop1_q <= 4'd0;
- end
- if (soc_cached_sels_ce2) begin
- soc_cached_sels_flipflop2_q <= soc_cached_sels_flipflop2_d;
- end
- if (soc_cached_sels_reset2) begin
- soc_cached_sels_flipflop2_q <= 4'd0;
- end
- if (soc_cached_sels_ce3) begin
- soc_cached_sels_flipflop3_q <= soc_cached_sels_flipflop3_d;
- end
- if (soc_cached_sels_reset3) begin
- soc_cached_sels_flipflop3_q <= 4'd0;
- end
- vns_litedramwishbone2native_state <= vns_litedramwishbone2native_next_state;
- if (soc_count_next_value_ce) begin
- soc_count <= soc_count_next_value;
- end
- case (vns_grant)
- 1'd0: begin
- if ((~vns_request[0])) begin
- if (vns_request[1]) begin
- vns_grant <= 1'd1;
- end
- end
- end
- 1'd1: begin
- if ((~vns_request[1])) begin
- if (vns_request[0]) begin
- vns_grant <= 1'd0;
- end
- end
- end
- endcase
- vns_slave_sel_r <= vns_slave_sel;
- if (vns_wait) begin
- if ((~vns_done)) begin
- vns_count <= (vns_count - 1'd1);
+ litedramcore_twtrcon_ready <= 1'd0;
end
end else begin
- vns_count <= 20'd1000000;
- end
- vns_interface0_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank0_sel) begin
- case (vns_interface0_bank_bus_adr[3:0])
- 1'd0: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_reset0_w;
- end
- 1'd1: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_scratch3_w;
- end
- 2'd2: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_scratch2_w;
- end
- 2'd3: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_scratch1_w;
- end
- 3'd4: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_scratch0_w;
- end
- 3'd5: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_bus_errors3_w;
- end
- 3'd6: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_bus_errors2_w;
- end
- 3'd7: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_bus_errors1_w;
- end
- 4'd8: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_bus_errors0_w;
- end
- endcase
- end
- if (vns_csrbank0_reset0_re) begin
- soc_litedramcore_soccontroller_reset_storage <= vns_csrbank0_reset0_r;
- end
- soc_litedramcore_soccontroller_reset_re <= vns_csrbank0_reset0_re;
- if (vns_csrbank0_scratch3_re) begin
- soc_litedramcore_soccontroller_scratch_storage[31:24] <= vns_csrbank0_scratch3_r;
- end
- if (vns_csrbank0_scratch2_re) begin
- soc_litedramcore_soccontroller_scratch_storage[23:16] <= vns_csrbank0_scratch2_r;
- end
- if (vns_csrbank0_scratch1_re) begin
- soc_litedramcore_soccontroller_scratch_storage[15:8] <= vns_csrbank0_scratch1_r;
- end
- if (vns_csrbank0_scratch0_re) begin
- soc_litedramcore_soccontroller_scratch_storage[7:0] <= vns_csrbank0_scratch0_r;
- end
- soc_litedramcore_soccontroller_scratch_re <= vns_csrbank0_scratch0_re;
- vns_interface1_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank1_sel) begin
- case (vns_interface1_bank_bus_adr[0])
+ if ((~litedramcore_twtrcon_ready)) begin
+ litedramcore_twtrcon_count <= (litedramcore_twtrcon_count - 1'd1);
+ if ((litedramcore_twtrcon_count == 1'd1)) begin
+ litedramcore_twtrcon_ready <= 1'd1;
+ end
+ end
+ end
+ multiplexer_state <= multiplexer_next_state;
+ new_master_wdata_ready0 <= ((((((((1'd0 | ((roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_wdata_ready)) | ((roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_wdata_ready)) | ((roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_wdata_ready)) | ((roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_wdata_ready)) | ((roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_wdata_ready)) | ((roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_wdata_ready)) | ((roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_wdata_ready)) | ((roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_wdata_ready));
+ new_master_wdata_ready1 <= new_master_wdata_ready0;
+ new_master_wdata_ready2 <= new_master_wdata_ready1;
+ new_master_rdata_valid0 <= ((((((((1'd0 | ((roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_rdata_valid)) | ((roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_rdata_valid)) | ((roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_rdata_valid)) | ((roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_rdata_valid)) | ((roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_rdata_valid)) | ((roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_rdata_valid)) | ((roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_rdata_valid)) | ((roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_rdata_valid));
+ new_master_rdata_valid1 <= new_master_rdata_valid0;
+ new_master_rdata_valid2 <= new_master_rdata_valid1;
+ new_master_rdata_valid3 <= new_master_rdata_valid2;
+ new_master_rdata_valid4 <= new_master_rdata_valid3;
+ new_master_rdata_valid5 <= new_master_rdata_valid4;
+ new_master_rdata_valid6 <= new_master_rdata_valid5;
+ new_master_rdata_valid7 <= new_master_rdata_valid6;
+ new_master_rdata_valid8 <= new_master_rdata_valid7;
+ interface0_bank_bus_dat_r <= 1'd0;
+ if (csrbank0_sel) begin
+ case (interface0_bank_bus_adr[3])
1'd0: begin
- vns_interface1_bank_bus_dat_r <= vns_csrbank1_init_done0_w;
+ interface0_bank_bus_dat_r <= csrbank0_init_done0_w;
end
1'd1: begin
- vns_interface1_bank_bus_dat_r <= vns_csrbank1_init_error0_w;
+ interface0_bank_bus_dat_r <= csrbank0_init_error0_w;
end
endcase
end
- if (vns_csrbank1_init_done0_re) begin
- soc_init_done_storage <= vns_csrbank1_init_done0_r;
+ if (csrbank0_init_done0_re) begin
+ init_done_storage <= csrbank0_init_done0_r;
end
- soc_init_done_re <= vns_csrbank1_init_done0_re;
- if (vns_csrbank1_init_error0_re) begin
- soc_init_error_storage <= vns_csrbank1_init_error0_r;
+ init_done_re <= csrbank0_init_done0_re;
+ if (csrbank0_init_error0_re) begin
+ init_error_storage <= csrbank0_init_error0_r;
end
- soc_init_error_re <= vns_csrbank1_init_error0_re;
- vns_interface2_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank2_sel) begin
- case (vns_interface2_bank_bus_adr[3:0])
+ init_error_re <= csrbank0_init_error0_re;
+ interface1_bank_bus_dat_r <= 1'd0;
+ if (csrbank1_sel) begin
+ case (interface1_bank_bus_adr[6:3])
1'd0: begin
- vns_interface2_bank_bus_dat_r <= vns_csrbank2_half_sys8x_taps0_w;
+ interface1_bank_bus_dat_r <= csrbank1_half_sys8x_taps0_w;
end
1'd1: begin
- vns_interface2_bank_bus_dat_r <= vns_csrbank2_wlevel_en0_w;
+ interface1_bank_bus_dat_r <= csrbank1_wlevel_en0_w;
end
2'd2: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_wlevel_strobe_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_wlevel_strobe_w;
end
2'd3: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_cdly_rst_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_cdly_rst_w;
end
3'd4: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_cdly_inc_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_cdly_inc_w;
end
3'd5: begin
- vns_interface2_bank_bus_dat_r <= vns_csrbank2_dly_sel0_w;
+ interface1_bank_bus_dat_r <= csrbank1_dly_sel0_w;
end
3'd6: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_rdly_dq_rst_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_rst_w;
end
3'd7: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_rdly_dq_inc_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_inc_w;
end
4'd8: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_rdly_dq_bitslip_rst_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_rst_w;
end
4'd9: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_rdly_dq_bitslip_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_w;
end
endcase
end
- if (vns_csrbank2_half_sys8x_taps0_re) begin
- soc_a7ddrphy_half_sys8x_taps_storage[4:0] <= vns_csrbank2_half_sys8x_taps0_r;
+ if (csrbank1_half_sys8x_taps0_re) begin
+ a7ddrphy_half_sys8x_taps_storage[4:0] <= csrbank1_half_sys8x_taps0_r;
end
- soc_a7ddrphy_half_sys8x_taps_re <= vns_csrbank2_half_sys8x_taps0_re;
- if (vns_csrbank2_wlevel_en0_re) begin
- soc_a7ddrphy_wlevel_en_storage <= vns_csrbank2_wlevel_en0_r;
+ a7ddrphy_half_sys8x_taps_re <= csrbank1_half_sys8x_taps0_re;
+ if (csrbank1_wlevel_en0_re) begin
+ a7ddrphy_wlevel_en_storage <= csrbank1_wlevel_en0_r;
end
- soc_a7ddrphy_wlevel_en_re <= vns_csrbank2_wlevel_en0_re;
- if (vns_csrbank2_dly_sel0_re) begin
- soc_a7ddrphy_dly_sel_storage[1:0] <= vns_csrbank2_dly_sel0_r;
+ a7ddrphy_wlevel_en_re <= csrbank1_wlevel_en0_re;
+ if (csrbank1_dly_sel0_re) begin
+ a7ddrphy_dly_sel_storage[1:0] <= csrbank1_dly_sel0_r;
end
- soc_a7ddrphy_dly_sel_re <= vns_csrbank2_dly_sel0_re;
- vns_interface3_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank3_sel) begin
- case (vns_interface3_bank_bus_adr[5:0])
+ a7ddrphy_dly_sel_re <= csrbank1_dly_sel0_re;
+ interface2_bank_bus_dat_r <= 1'd0;
+ if (csrbank2_sel) begin
+ case (interface2_bank_bus_adr[8:3])
1'd0: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_control0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_control0_w;
end
1'd1: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_command0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_command0_w;
end
2'd2: begin
- vns_interface3_bank_bus_dat_r <= soc_sdram_phaseinjector0_command_issue_w;
+ interface2_bank_bus_dat_r <= litedramcore_phaseinjector0_command_issue_w;
end
2'd3: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_address1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_address1_w;
end
3'd4: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_address0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_address0_w;
end
3'd5: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_baddress0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_baddress0_w;
end
3'd6: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_wrdata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata3_w;
end
3'd7: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_wrdata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata2_w;
end
4'd8: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_wrdata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata1_w;
end
4'd9: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_wrdata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata0_w;
end
4'd10: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_rddata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata3_w;
end
4'd11: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_rddata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata2_w;
end
4'd12: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_rddata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata1_w;
end
4'd13: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_rddata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata0_w;
end
4'd14: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_command0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_command0_w;
end
4'd15: begin
- vns_interface3_bank_bus_dat_r <= soc_sdram_phaseinjector1_command_issue_w;
+ interface2_bank_bus_dat_r <= litedramcore_phaseinjector1_command_issue_w;
end
5'd16: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_address1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_address1_w;
end
5'd17: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_address0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_address0_w;
end
5'd18: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_baddress0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_baddress0_w;
end
5'd19: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_wrdata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata3_w;
end
5'd20: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_wrdata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata2_w;
end
5'd21: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_wrdata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata1_w;
end
5'd22: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_wrdata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata0_w;
end
5'd23: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_rddata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata3_w;
end
5'd24: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_rddata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata2_w;
end
5'd25: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_rddata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata1_w;
end
5'd26: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_rddata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata0_w;
end
5'd27: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_command0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_command0_w;
end
5'd28: begin
- vns_interface3_bank_bus_dat_r <= soc_sdram_phaseinjector2_command_issue_w;
+ interface2_bank_bus_dat_r <= litedramcore_phaseinjector2_command_issue_w;
end
5'd29: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_address1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_address1_w;
end
5'd30: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_address0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_address0_w;
end
5'd31: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_baddress0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_baddress0_w;
end
6'd32: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_wrdata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata3_w;
end
6'd33: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_wrdata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata2_w;
end
6'd34: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_wrdata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata1_w;
end
6'd35: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_wrdata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata0_w;
end
6'd36: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_rddata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata3_w;
end
6'd37: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_rddata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata2_w;
end
6'd38: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_rddata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata1_w;
end
6'd39: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_rddata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata0_w;
end
6'd40: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_command0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_command0_w;
end
6'd41: begin
- vns_interface3_bank_bus_dat_r <= soc_sdram_phaseinjector3_command_issue_w;
+ interface2_bank_bus_dat_r <= litedramcore_phaseinjector3_command_issue_w;
end
6'd42: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_address1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_address1_w;
end
6'd43: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_address0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_address0_w;
end
6'd44: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_baddress0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_baddress0_w;
end
6'd45: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_wrdata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata3_w;
end
6'd46: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_wrdata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata2_w;
end
6'd47: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_wrdata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata1_w;
end
6'd48: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_wrdata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata0_w;
end
6'd49: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_rddata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata3_w;
end
6'd50: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_rddata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata2_w;
end
6'd51: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_rddata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata1_w;
end
6'd52: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_rddata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata0_w;
end
endcase
end
- if (vns_csrbank3_dfii_control0_re) begin
- soc_sdram_storage[3:0] <= vns_csrbank3_dfii_control0_r;
- end
- soc_sdram_re <= vns_csrbank3_dfii_control0_re;
- if (vns_csrbank3_dfii_pi0_command0_re) begin
- soc_sdram_phaseinjector0_command_storage[5:0] <= vns_csrbank3_dfii_pi0_command0_r;
- end
- soc_sdram_phaseinjector0_command_re <= vns_csrbank3_dfii_pi0_command0_re;
- if (vns_csrbank3_dfii_pi0_address1_re) begin
- soc_sdram_phaseinjector0_address_storage[13:8] <= vns_csrbank3_dfii_pi0_address1_r;
- end
- if (vns_csrbank3_dfii_pi0_address0_re) begin
- soc_sdram_phaseinjector0_address_storage[7:0] <= vns_csrbank3_dfii_pi0_address0_r;
- end
- soc_sdram_phaseinjector0_address_re <= vns_csrbank3_dfii_pi0_address0_re;
- if (vns_csrbank3_dfii_pi0_baddress0_re) begin
- soc_sdram_phaseinjector0_baddress_storage[2:0] <= vns_csrbank3_dfii_pi0_baddress0_r;
- end
- soc_sdram_phaseinjector0_baddress_re <= vns_csrbank3_dfii_pi0_baddress0_re;
- if (vns_csrbank3_dfii_pi0_wrdata3_re) begin
- soc_sdram_phaseinjector0_wrdata_storage[31:24] <= vns_csrbank3_dfii_pi0_wrdata3_r;
- end
- if (vns_csrbank3_dfii_pi0_wrdata2_re) begin
- soc_sdram_phaseinjector0_wrdata_storage[23:16] <= vns_csrbank3_dfii_pi0_wrdata2_r;
- end
- if (vns_csrbank3_dfii_pi0_wrdata1_re) begin
- soc_sdram_phaseinjector0_wrdata_storage[15:8] <= vns_csrbank3_dfii_pi0_wrdata1_r;
- end
- if (vns_csrbank3_dfii_pi0_wrdata0_re) begin
- soc_sdram_phaseinjector0_wrdata_storage[7:0] <= vns_csrbank3_dfii_pi0_wrdata0_r;
+ if (csrbank2_dfii_control0_re) begin
+ litedramcore_storage[3:0] <= csrbank2_dfii_control0_r;
end
- soc_sdram_phaseinjector0_wrdata_re <= vns_csrbank3_dfii_pi0_wrdata0_re;
- if (vns_csrbank3_dfii_pi1_command0_re) begin
- soc_sdram_phaseinjector1_command_storage[5:0] <= vns_csrbank3_dfii_pi1_command0_r;
+ litedramcore_re <= csrbank2_dfii_control0_re;
+ if (csrbank2_dfii_pi0_command0_re) begin
+ litedramcore_phaseinjector0_command_storage[5:0] <= csrbank2_dfii_pi0_command0_r;
end
- soc_sdram_phaseinjector1_command_re <= vns_csrbank3_dfii_pi1_command0_re;
- if (vns_csrbank3_dfii_pi1_address1_re) begin
- soc_sdram_phaseinjector1_address_storage[13:8] <= vns_csrbank3_dfii_pi1_address1_r;
+ litedramcore_phaseinjector0_command_re <= csrbank2_dfii_pi0_command0_re;
+ if (csrbank2_dfii_pi0_address1_re) begin
+ litedramcore_phaseinjector0_address_storage[13:8] <= csrbank2_dfii_pi0_address1_r;
end
- if (vns_csrbank3_dfii_pi1_address0_re) begin
- soc_sdram_phaseinjector1_address_storage[7:0] <= vns_csrbank3_dfii_pi1_address0_r;
+ if (csrbank2_dfii_pi0_address0_re) begin
+ litedramcore_phaseinjector0_address_storage[7:0] <= csrbank2_dfii_pi0_address0_r;
end
- soc_sdram_phaseinjector1_address_re <= vns_csrbank3_dfii_pi1_address0_re;
- if (vns_csrbank3_dfii_pi1_baddress0_re) begin
- soc_sdram_phaseinjector1_baddress_storage[2:0] <= vns_csrbank3_dfii_pi1_baddress0_r;
+ litedramcore_phaseinjector0_address_re <= csrbank2_dfii_pi0_address0_re;
+ if (csrbank2_dfii_pi0_baddress0_re) begin
+ litedramcore_phaseinjector0_baddress_storage[2:0] <= csrbank2_dfii_pi0_baddress0_r;
end
- soc_sdram_phaseinjector1_baddress_re <= vns_csrbank3_dfii_pi1_baddress0_re;
- if (vns_csrbank3_dfii_pi1_wrdata3_re) begin
- soc_sdram_phaseinjector1_wrdata_storage[31:24] <= vns_csrbank3_dfii_pi1_wrdata3_r;
+ litedramcore_phaseinjector0_baddress_re <= csrbank2_dfii_pi0_baddress0_re;
+ if (csrbank2_dfii_pi0_wrdata3_re) begin
+ litedramcore_phaseinjector0_wrdata_storage[31:24] <= csrbank2_dfii_pi0_wrdata3_r;
end
- if (vns_csrbank3_dfii_pi1_wrdata2_re) begin
- soc_sdram_phaseinjector1_wrdata_storage[23:16] <= vns_csrbank3_dfii_pi1_wrdata2_r;
+ if (csrbank2_dfii_pi0_wrdata2_re) begin
+ litedramcore_phaseinjector0_wrdata_storage[23:16] <= csrbank2_dfii_pi0_wrdata2_r;
end
- if (vns_csrbank3_dfii_pi1_wrdata1_re) begin
- soc_sdram_phaseinjector1_wrdata_storage[15:8] <= vns_csrbank3_dfii_pi1_wrdata1_r;
+ if (csrbank2_dfii_pi0_wrdata1_re) begin
+ litedramcore_phaseinjector0_wrdata_storage[15:8] <= csrbank2_dfii_pi0_wrdata1_r;
end
- if (vns_csrbank3_dfii_pi1_wrdata0_re) begin
- soc_sdram_phaseinjector1_wrdata_storage[7:0] <= vns_csrbank3_dfii_pi1_wrdata0_r;
+ if (csrbank2_dfii_pi0_wrdata0_re) begin
+ litedramcore_phaseinjector0_wrdata_storage[7:0] <= csrbank2_dfii_pi0_wrdata0_r;
end
- soc_sdram_phaseinjector1_wrdata_re <= vns_csrbank3_dfii_pi1_wrdata0_re;
- if (vns_csrbank3_dfii_pi2_command0_re) begin
- soc_sdram_phaseinjector2_command_storage[5:0] <= vns_csrbank3_dfii_pi2_command0_r;
+ litedramcore_phaseinjector0_wrdata_re <= csrbank2_dfii_pi0_wrdata0_re;
+ if (csrbank2_dfii_pi1_command0_re) begin
+ litedramcore_phaseinjector1_command_storage[5:0] <= csrbank2_dfii_pi1_command0_r;
end
- soc_sdram_phaseinjector2_command_re <= vns_csrbank3_dfii_pi2_command0_re;
- if (vns_csrbank3_dfii_pi2_address1_re) begin
- soc_sdram_phaseinjector2_address_storage[13:8] <= vns_csrbank3_dfii_pi2_address1_r;
+ litedramcore_phaseinjector1_command_re <= csrbank2_dfii_pi1_command0_re;
+ if (csrbank2_dfii_pi1_address1_re) begin
+ litedramcore_phaseinjector1_address_storage[13:8] <= csrbank2_dfii_pi1_address1_r;
end
- if (vns_csrbank3_dfii_pi2_address0_re) begin
- soc_sdram_phaseinjector2_address_storage[7:0] <= vns_csrbank3_dfii_pi2_address0_r;
+ if (csrbank2_dfii_pi1_address0_re) begin
+ litedramcore_phaseinjector1_address_storage[7:0] <= csrbank2_dfii_pi1_address0_r;
end
- soc_sdram_phaseinjector2_address_re <= vns_csrbank3_dfii_pi2_address0_re;
- if (vns_csrbank3_dfii_pi2_baddress0_re) begin
- soc_sdram_phaseinjector2_baddress_storage[2:0] <= vns_csrbank3_dfii_pi2_baddress0_r;
+ litedramcore_phaseinjector1_address_re <= csrbank2_dfii_pi1_address0_re;
+ if (csrbank2_dfii_pi1_baddress0_re) begin
+ litedramcore_phaseinjector1_baddress_storage[2:0] <= csrbank2_dfii_pi1_baddress0_r;
end
- soc_sdram_phaseinjector2_baddress_re <= vns_csrbank3_dfii_pi2_baddress0_re;
- if (vns_csrbank3_dfii_pi2_wrdata3_re) begin
- soc_sdram_phaseinjector2_wrdata_storage[31:24] <= vns_csrbank3_dfii_pi2_wrdata3_r;
+ litedramcore_phaseinjector1_baddress_re <= csrbank2_dfii_pi1_baddress0_re;
+ if (csrbank2_dfii_pi1_wrdata3_re) begin
+ litedramcore_phaseinjector1_wrdata_storage[31:24] <= csrbank2_dfii_pi1_wrdata3_r;
end
- if (vns_csrbank3_dfii_pi2_wrdata2_re) begin
- soc_sdram_phaseinjector2_wrdata_storage[23:16] <= vns_csrbank3_dfii_pi2_wrdata2_r;
- end
- if (vns_csrbank3_dfii_pi2_wrdata1_re) begin
- soc_sdram_phaseinjector2_wrdata_storage[15:8] <= vns_csrbank3_dfii_pi2_wrdata1_r;
- end
- if (vns_csrbank3_dfii_pi2_wrdata0_re) begin
- soc_sdram_phaseinjector2_wrdata_storage[7:0] <= vns_csrbank3_dfii_pi2_wrdata0_r;
- end
- soc_sdram_phaseinjector2_wrdata_re <= vns_csrbank3_dfii_pi2_wrdata0_re;
- if (vns_csrbank3_dfii_pi3_command0_re) begin
- soc_sdram_phaseinjector3_command_storage[5:0] <= vns_csrbank3_dfii_pi3_command0_r;
- end
- soc_sdram_phaseinjector3_command_re <= vns_csrbank3_dfii_pi3_command0_re;
- if (vns_csrbank3_dfii_pi3_address1_re) begin
- soc_sdram_phaseinjector3_address_storage[13:8] <= vns_csrbank3_dfii_pi3_address1_r;
- end
- if (vns_csrbank3_dfii_pi3_address0_re) begin
- soc_sdram_phaseinjector3_address_storage[7:0] <= vns_csrbank3_dfii_pi3_address0_r;
- end
- soc_sdram_phaseinjector3_address_re <= vns_csrbank3_dfii_pi3_address0_re;
- if (vns_csrbank3_dfii_pi3_baddress0_re) begin
- soc_sdram_phaseinjector3_baddress_storage[2:0] <= vns_csrbank3_dfii_pi3_baddress0_r;
- end
- soc_sdram_phaseinjector3_baddress_re <= vns_csrbank3_dfii_pi3_baddress0_re;
- if (vns_csrbank3_dfii_pi3_wrdata3_re) begin
- soc_sdram_phaseinjector3_wrdata_storage[31:24] <= vns_csrbank3_dfii_pi3_wrdata3_r;
- end
- if (vns_csrbank3_dfii_pi3_wrdata2_re) begin
- soc_sdram_phaseinjector3_wrdata_storage[23:16] <= vns_csrbank3_dfii_pi3_wrdata2_r;
- end
- if (vns_csrbank3_dfii_pi3_wrdata1_re) begin
- soc_sdram_phaseinjector3_wrdata_storage[15:8] <= vns_csrbank3_dfii_pi3_wrdata1_r;
- end
- if (vns_csrbank3_dfii_pi3_wrdata0_re) begin
- soc_sdram_phaseinjector3_wrdata_storage[7:0] <= vns_csrbank3_dfii_pi3_wrdata0_r;
- end
- soc_sdram_phaseinjector3_wrdata_re <= vns_csrbank3_dfii_pi3_wrdata0_re;
- vns_interface4_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank4_sel) begin
- case (vns_interface4_bank_bus_adr[4:0])
- 1'd0: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_load3_w;
- end
- 1'd1: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_load2_w;
- end
- 2'd2: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_load1_w;
- end
- 2'd3: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_load0_w;
- end
- 3'd4: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_reload3_w;
- end
- 3'd5: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_reload2_w;
- end
- 3'd6: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_reload1_w;
- end
- 3'd7: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_reload0_w;
- end
- 4'd8: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_en0_w;
- end
- 4'd9: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_update_value0_w;
- end
- 4'd10: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_value3_w;
- end
- 4'd11: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_value2_w;
- end
- 4'd12: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_value1_w;
- end
- 4'd13: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_value0_w;
- end
- 4'd14: begin
- vns_interface4_bank_bus_dat_r <= soc_litedramcore_timer_eventmanager_status_w;
- end
- 4'd15: begin
- vns_interface4_bank_bus_dat_r <= soc_litedramcore_timer_eventmanager_pending_w;
- end
- 5'd16: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_ev_enable0_w;
- end
- endcase
+ if (csrbank2_dfii_pi1_wrdata2_re) begin
+ litedramcore_phaseinjector1_wrdata_storage[23:16] <= csrbank2_dfii_pi1_wrdata2_r;
end
- if (vns_csrbank4_load3_re) begin
- soc_litedramcore_timer_load_storage[31:24] <= vns_csrbank4_load3_r;
+ if (csrbank2_dfii_pi1_wrdata1_re) begin
+ litedramcore_phaseinjector1_wrdata_storage[15:8] <= csrbank2_dfii_pi1_wrdata1_r;
end
- if (vns_csrbank4_load2_re) begin
- soc_litedramcore_timer_load_storage[23:16] <= vns_csrbank4_load2_r;
+ if (csrbank2_dfii_pi1_wrdata0_re) begin
+ litedramcore_phaseinjector1_wrdata_storage[7:0] <= csrbank2_dfii_pi1_wrdata0_r;
end
- if (vns_csrbank4_load1_re) begin
- soc_litedramcore_timer_load_storage[15:8] <= vns_csrbank4_load1_r;
+ litedramcore_phaseinjector1_wrdata_re <= csrbank2_dfii_pi1_wrdata0_re;
+ if (csrbank2_dfii_pi2_command0_re) begin
+ litedramcore_phaseinjector2_command_storage[5:0] <= csrbank2_dfii_pi2_command0_r;
end
- if (vns_csrbank4_load0_re) begin
- soc_litedramcore_timer_load_storage[7:0] <= vns_csrbank4_load0_r;
+ litedramcore_phaseinjector2_command_re <= csrbank2_dfii_pi2_command0_re;
+ if (csrbank2_dfii_pi2_address1_re) begin
+ litedramcore_phaseinjector2_address_storage[13:8] <= csrbank2_dfii_pi2_address1_r;
end
- soc_litedramcore_timer_load_re <= vns_csrbank4_load0_re;
- if (vns_csrbank4_reload3_re) begin
- soc_litedramcore_timer_reload_storage[31:24] <= vns_csrbank4_reload3_r;
+ if (csrbank2_dfii_pi2_address0_re) begin
+ litedramcore_phaseinjector2_address_storage[7:0] <= csrbank2_dfii_pi2_address0_r;
end
- if (vns_csrbank4_reload2_re) begin
- soc_litedramcore_timer_reload_storage[23:16] <= vns_csrbank4_reload2_r;
+ litedramcore_phaseinjector2_address_re <= csrbank2_dfii_pi2_address0_re;
+ if (csrbank2_dfii_pi2_baddress0_re) begin
+ litedramcore_phaseinjector2_baddress_storage[2:0] <= csrbank2_dfii_pi2_baddress0_r;
end
- if (vns_csrbank4_reload1_re) begin
- soc_litedramcore_timer_reload_storage[15:8] <= vns_csrbank4_reload1_r;
+ litedramcore_phaseinjector2_baddress_re <= csrbank2_dfii_pi2_baddress0_re;
+ if (csrbank2_dfii_pi2_wrdata3_re) begin
+ litedramcore_phaseinjector2_wrdata_storage[31:24] <= csrbank2_dfii_pi2_wrdata3_r;
end
- if (vns_csrbank4_reload0_re) begin
- soc_litedramcore_timer_reload_storage[7:0] <= vns_csrbank4_reload0_r;
+ if (csrbank2_dfii_pi2_wrdata2_re) begin
+ litedramcore_phaseinjector2_wrdata_storage[23:16] <= csrbank2_dfii_pi2_wrdata2_r;
end
- soc_litedramcore_timer_reload_re <= vns_csrbank4_reload0_re;
- if (vns_csrbank4_en0_re) begin
- soc_litedramcore_timer_en_storage <= vns_csrbank4_en0_r;
+ if (csrbank2_dfii_pi2_wrdata1_re) begin
+ litedramcore_phaseinjector2_wrdata_storage[15:8] <= csrbank2_dfii_pi2_wrdata1_r;
end
- soc_litedramcore_timer_en_re <= vns_csrbank4_en0_re;
- if (vns_csrbank4_update_value0_re) begin
- soc_litedramcore_timer_update_value_storage <= vns_csrbank4_update_value0_r;
+ if (csrbank2_dfii_pi2_wrdata0_re) begin
+ litedramcore_phaseinjector2_wrdata_storage[7:0] <= csrbank2_dfii_pi2_wrdata0_r;
end
- soc_litedramcore_timer_update_value_re <= vns_csrbank4_update_value0_re;
- if (vns_csrbank4_ev_enable0_re) begin
- soc_litedramcore_timer_eventmanager_storage <= vns_csrbank4_ev_enable0_r;
+ litedramcore_phaseinjector2_wrdata_re <= csrbank2_dfii_pi2_wrdata0_re;
+ if (csrbank2_dfii_pi3_command0_re) begin
+ litedramcore_phaseinjector3_command_storage[5:0] <= csrbank2_dfii_pi3_command0_r;
end
- soc_litedramcore_timer_eventmanager_re <= vns_csrbank4_ev_enable0_re;
- vns_interface5_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank5_sel) begin
- case (vns_interface5_bank_bus_adr[2:0])
- 1'd0: begin
- vns_interface5_bank_bus_dat_r <= soc_litedramcore_uart_rxtx_w;
- end
- 1'd1: begin
- vns_interface5_bank_bus_dat_r <= vns_csrbank5_txfull_w;
- end
- 2'd2: begin
- vns_interface5_bank_bus_dat_r <= vns_csrbank5_rxempty_w;
- end
- 2'd3: begin
- vns_interface5_bank_bus_dat_r <= soc_litedramcore_uart_eventmanager_status_w;
- end
- 3'd4: begin
- vns_interface5_bank_bus_dat_r <= soc_litedramcore_uart_eventmanager_pending_w;
- end
- 3'd5: begin
- vns_interface5_bank_bus_dat_r <= vns_csrbank5_ev_enable0_w;
- end
- endcase
+ litedramcore_phaseinjector3_command_re <= csrbank2_dfii_pi3_command0_re;
+ if (csrbank2_dfii_pi3_address1_re) begin
+ litedramcore_phaseinjector3_address_storage[13:8] <= csrbank2_dfii_pi3_address1_r;
end
- if (vns_csrbank5_ev_enable0_re) begin
- soc_litedramcore_uart_eventmanager_storage[1:0] <= vns_csrbank5_ev_enable0_r;
+ if (csrbank2_dfii_pi3_address0_re) begin
+ litedramcore_phaseinjector3_address_storage[7:0] <= csrbank2_dfii_pi3_address0_r;
end
- soc_litedramcore_uart_eventmanager_re <= vns_csrbank5_ev_enable0_re;
- vns_interface6_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank6_sel) begin
- case (vns_interface6_bank_bus_adr[1:0])
- 1'd0: begin
- vns_interface6_bank_bus_dat_r <= vns_csrbank6_tuning_word3_w;
- end
- 1'd1: begin
- vns_interface6_bank_bus_dat_r <= vns_csrbank6_tuning_word2_w;
- end
- 2'd2: begin
- vns_interface6_bank_bus_dat_r <= vns_csrbank6_tuning_word1_w;
- end
- 2'd3: begin
- vns_interface6_bank_bus_dat_r <= vns_csrbank6_tuning_word0_w;
- end
- endcase
+ litedramcore_phaseinjector3_address_re <= csrbank2_dfii_pi3_address0_re;
+ if (csrbank2_dfii_pi3_baddress0_re) begin
+ litedramcore_phaseinjector3_baddress_storage[2:0] <= csrbank2_dfii_pi3_baddress0_r;
end
- if (vns_csrbank6_tuning_word3_re) begin
- soc_litedramcore_storage[31:24] <= vns_csrbank6_tuning_word3_r;
+ litedramcore_phaseinjector3_baddress_re <= csrbank2_dfii_pi3_baddress0_re;
+ if (csrbank2_dfii_pi3_wrdata3_re) begin
+ litedramcore_phaseinjector3_wrdata_storage[31:24] <= csrbank2_dfii_pi3_wrdata3_r;
end
- if (vns_csrbank6_tuning_word2_re) begin
- soc_litedramcore_storage[23:16] <= vns_csrbank6_tuning_word2_r;
+ if (csrbank2_dfii_pi3_wrdata2_re) begin
+ litedramcore_phaseinjector3_wrdata_storage[23:16] <= csrbank2_dfii_pi3_wrdata2_r;
end
- if (vns_csrbank6_tuning_word1_re) begin
- soc_litedramcore_storage[15:8] <= vns_csrbank6_tuning_word1_r;
+ if (csrbank2_dfii_pi3_wrdata1_re) begin
+ litedramcore_phaseinjector3_wrdata_storage[15:8] <= csrbank2_dfii_pi3_wrdata1_r;
end
- if (vns_csrbank6_tuning_word0_re) begin
- soc_litedramcore_storage[7:0] <= vns_csrbank6_tuning_word0_r;
+ if (csrbank2_dfii_pi3_wrdata0_re) begin
+ litedramcore_phaseinjector3_wrdata_storage[7:0] <= csrbank2_dfii_pi3_wrdata0_r;
end
- soc_litedramcore_re <= vns_csrbank6_tuning_word0_re;
+ litedramcore_phaseinjector3_wrdata_re <= csrbank2_dfii_pi3_wrdata0_re;
if (sys_rst) begin
- soc_litedramcore_soccontroller_reset_storage <= 1'd0;
- soc_litedramcore_soccontroller_reset_re <= 1'd0;
- soc_litedramcore_soccontroller_scratch_storage <= 32'd305419896;
- soc_litedramcore_soccontroller_scratch_re <= 1'd0;
- soc_litedramcore_soccontroller_bus_errors <= 32'd0;
- soc_litedramcore_litedramcore_ram_bus_ack <= 1'd0;
- soc_litedramcore_ram_bus_ram_bus_ack <= 1'd0;
- serial_tx <= 1'd1;
- soc_litedramcore_storage <= 32'd4947802;
- soc_litedramcore_re <= 1'd0;
- soc_litedramcore_sink_ready <= 1'd0;
- soc_litedramcore_uart_clk_txen <= 1'd0;
- soc_litedramcore_tx_busy <= 1'd0;
- soc_litedramcore_source_valid <= 1'd0;
- soc_litedramcore_uart_clk_rxen <= 1'd0;
- soc_litedramcore_rx_r <= 1'd0;
- soc_litedramcore_rx_busy <= 1'd0;
- soc_litedramcore_uart_tx_pending <= 1'd0;
- soc_litedramcore_uart_tx_old_trigger <= 1'd0;
- soc_litedramcore_uart_rx_pending <= 1'd0;
- soc_litedramcore_uart_rx_old_trigger <= 1'd0;
- soc_litedramcore_uart_eventmanager_storage <= 2'd0;
- soc_litedramcore_uart_eventmanager_re <= 1'd0;
- soc_litedramcore_uart_tx_fifo_readable <= 1'd0;
- soc_litedramcore_uart_tx_fifo_level0 <= 5'd0;
- soc_litedramcore_uart_tx_fifo_produce <= 4'd0;
- soc_litedramcore_uart_tx_fifo_consume <= 4'd0;
- soc_litedramcore_uart_rx_fifo_readable <= 1'd0;
- soc_litedramcore_uart_rx_fifo_level0 <= 5'd0;
- soc_litedramcore_uart_rx_fifo_produce <= 4'd0;
- soc_litedramcore_uart_rx_fifo_consume <= 4'd0;
- soc_litedramcore_timer_load_storage <= 32'd0;
- soc_litedramcore_timer_load_re <= 1'd0;
- soc_litedramcore_timer_reload_storage <= 32'd0;
- soc_litedramcore_timer_reload_re <= 1'd0;
- soc_litedramcore_timer_en_storage <= 1'd0;
- soc_litedramcore_timer_en_re <= 1'd0;
- soc_litedramcore_timer_update_value_storage <= 1'd0;
- soc_litedramcore_timer_update_value_re <= 1'd0;
- soc_litedramcore_timer_value_status <= 32'd0;
- soc_litedramcore_timer_zero_pending <= 1'd0;
- soc_litedramcore_timer_zero_old_trigger <= 1'd0;
- soc_litedramcore_timer_eventmanager_storage <= 1'd0;
- soc_litedramcore_timer_eventmanager_re <= 1'd0;
- soc_litedramcore_timer_value <= 32'd0;
- soc_a7ddrphy_half_sys8x_taps_storage <= 5'd8;
- soc_a7ddrphy_half_sys8x_taps_re <= 1'd0;
- soc_a7ddrphy_wlevel_en_storage <= 1'd0;
- soc_a7ddrphy_wlevel_en_re <= 1'd0;
- soc_a7ddrphy_dly_sel_storage <= 2'd0;
- soc_a7ddrphy_dly_sel_re <= 1'd0;
- soc_a7ddrphy_dfi_p0_rddata_valid <= 1'd0;
- soc_a7ddrphy_dfi_p1_rddata_valid <= 1'd0;
- soc_a7ddrphy_dfi_p2_rddata_valid <= 1'd0;
- soc_a7ddrphy_dfi_p3_rddata_valid <= 1'd0;
- soc_a7ddrphy_dqs_oe_delayed <= 1'd0;
- soc_a7ddrphy_dqspattern_o1 <= 8'd0;
- soc_a7ddrphy_dq_oe_delayed <= 1'd0;
- soc_a7ddrphy_bitslip0_value <= 3'd0;
- soc_a7ddrphy_bitslip1_value <= 3'd0;
- soc_a7ddrphy_bitslip2_value <= 3'd0;
- soc_a7ddrphy_bitslip3_value <= 3'd0;
- soc_a7ddrphy_bitslip4_value <= 3'd0;
- soc_a7ddrphy_bitslip5_value <= 3'd0;
- soc_a7ddrphy_bitslip6_value <= 3'd0;
- soc_a7ddrphy_bitslip7_value <= 3'd0;
- soc_a7ddrphy_bitslip8_value <= 3'd0;
- soc_a7ddrphy_bitslip9_value <= 3'd0;
- soc_a7ddrphy_bitslip10_value <= 3'd0;
- soc_a7ddrphy_bitslip11_value <= 3'd0;
- soc_a7ddrphy_bitslip12_value <= 3'd0;
- soc_a7ddrphy_bitslip13_value <= 3'd0;
- soc_a7ddrphy_bitslip14_value <= 3'd0;
- soc_a7ddrphy_bitslip15_value <= 3'd0;
- soc_a7ddrphy_rddata_en_last <= 8'd0;
- soc_a7ddrphy_wrdata_en_last <= 4'd0;
- soc_sdram_storage <= 4'd0;
- soc_sdram_re <= 1'd0;
- soc_sdram_phaseinjector0_command_storage <= 6'd0;
- soc_sdram_phaseinjector0_command_re <= 1'd0;
- soc_sdram_phaseinjector0_address_re <= 1'd0;
- soc_sdram_phaseinjector0_baddress_re <= 1'd0;
- soc_sdram_phaseinjector0_wrdata_re <= 1'd0;
- soc_sdram_phaseinjector0_status <= 32'd0;
- soc_sdram_phaseinjector1_command_storage <= 6'd0;
- soc_sdram_phaseinjector1_command_re <= 1'd0;
- soc_sdram_phaseinjector1_address_re <= 1'd0;
- soc_sdram_phaseinjector1_baddress_re <= 1'd0;
- soc_sdram_phaseinjector1_wrdata_re <= 1'd0;
- soc_sdram_phaseinjector1_status <= 32'd0;
- soc_sdram_phaseinjector2_command_storage <= 6'd0;
- soc_sdram_phaseinjector2_command_re <= 1'd0;
- soc_sdram_phaseinjector2_address_re <= 1'd0;
- soc_sdram_phaseinjector2_baddress_re <= 1'd0;
- soc_sdram_phaseinjector2_wrdata_re <= 1'd0;
- soc_sdram_phaseinjector2_status <= 32'd0;
- soc_sdram_phaseinjector3_command_storage <= 6'd0;
- soc_sdram_phaseinjector3_command_re <= 1'd0;
- soc_sdram_phaseinjector3_address_re <= 1'd0;
- soc_sdram_phaseinjector3_baddress_re <= 1'd0;
- soc_sdram_phaseinjector3_wrdata_re <= 1'd0;
- soc_sdram_phaseinjector3_status <= 32'd0;
- soc_sdram_dfi_p0_address <= 14'd0;
- soc_sdram_dfi_p0_bank <= 3'd0;
- soc_sdram_dfi_p0_cas_n <= 1'd1;
- soc_sdram_dfi_p0_cs_n <= 1'd1;
- soc_sdram_dfi_p0_ras_n <= 1'd1;
- soc_sdram_dfi_p0_we_n <= 1'd1;
- soc_sdram_dfi_p0_wrdata_en <= 1'd0;
- soc_sdram_dfi_p0_rddata_en <= 1'd0;
- soc_sdram_dfi_p1_address <= 14'd0;
- soc_sdram_dfi_p1_bank <= 3'd0;
- soc_sdram_dfi_p1_cas_n <= 1'd1;
- soc_sdram_dfi_p1_cs_n <= 1'd1;
- soc_sdram_dfi_p1_ras_n <= 1'd1;
- soc_sdram_dfi_p1_we_n <= 1'd1;
- soc_sdram_dfi_p1_wrdata_en <= 1'd0;
- soc_sdram_dfi_p1_rddata_en <= 1'd0;
- soc_sdram_dfi_p2_address <= 14'd0;
- soc_sdram_dfi_p2_bank <= 3'd0;
- soc_sdram_dfi_p2_cas_n <= 1'd1;
- soc_sdram_dfi_p2_cs_n <= 1'd1;
- soc_sdram_dfi_p2_ras_n <= 1'd1;
- soc_sdram_dfi_p2_we_n <= 1'd1;
- soc_sdram_dfi_p2_wrdata_en <= 1'd0;
- soc_sdram_dfi_p2_rddata_en <= 1'd0;
- soc_sdram_dfi_p3_address <= 14'd0;
- soc_sdram_dfi_p3_bank <= 3'd0;
- soc_sdram_dfi_p3_cas_n <= 1'd1;
- soc_sdram_dfi_p3_cs_n <= 1'd1;
- soc_sdram_dfi_p3_ras_n <= 1'd1;
- soc_sdram_dfi_p3_we_n <= 1'd1;
- soc_sdram_dfi_p3_wrdata_en <= 1'd0;
- soc_sdram_dfi_p3_rddata_en <= 1'd0;
- soc_sdram_timer_count1 <= 10'd781;
- soc_sdram_postponer_req_o <= 1'd0;
- soc_sdram_postponer_count <= 1'd0;
- soc_sdram_sequencer_done1 <= 1'd0;
- soc_sdram_sequencer_counter <= 6'd0;
- soc_sdram_sequencer_count <= 1'd0;
- soc_sdram_zqcs_timer_count1 <= 27'd99999999;
- soc_sdram_zqcs_executer_done <= 1'd0;
- soc_sdram_zqcs_executer_counter <= 5'd0;
- soc_sdram_bankmachine0_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine0_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine0_row <= 14'd0;
- soc_sdram_bankmachine0_row_opened <= 1'd0;
- soc_sdram_bankmachine0_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine0_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine0_trccon_ready <= 1'd1;
- soc_sdram_bankmachine0_trccon_count <= 3'd0;
- soc_sdram_bankmachine0_trascon_ready <= 1'd1;
- soc_sdram_bankmachine0_trascon_count <= 3'd0;
- soc_sdram_bankmachine1_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine1_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine1_row <= 14'd0;
- soc_sdram_bankmachine1_row_opened <= 1'd0;
- soc_sdram_bankmachine1_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine1_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine1_trccon_ready <= 1'd1;
- soc_sdram_bankmachine1_trccon_count <= 3'd0;
- soc_sdram_bankmachine1_trascon_ready <= 1'd1;
- soc_sdram_bankmachine1_trascon_count <= 3'd0;
- soc_sdram_bankmachine2_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine2_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine2_row <= 14'd0;
- soc_sdram_bankmachine2_row_opened <= 1'd0;
- soc_sdram_bankmachine2_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine2_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine2_trccon_ready <= 1'd1;
- soc_sdram_bankmachine2_trccon_count <= 3'd0;
- soc_sdram_bankmachine2_trascon_ready <= 1'd1;
- soc_sdram_bankmachine2_trascon_count <= 3'd0;
- soc_sdram_bankmachine3_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine3_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine3_row <= 14'd0;
- soc_sdram_bankmachine3_row_opened <= 1'd0;
- soc_sdram_bankmachine3_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine3_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine3_trccon_ready <= 1'd1;
- soc_sdram_bankmachine3_trccon_count <= 3'd0;
- soc_sdram_bankmachine3_trascon_ready <= 1'd1;
- soc_sdram_bankmachine3_trascon_count <= 3'd0;
- soc_sdram_bankmachine4_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine4_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine4_row <= 14'd0;
- soc_sdram_bankmachine4_row_opened <= 1'd0;
- soc_sdram_bankmachine4_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine4_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine4_trccon_ready <= 1'd1;
- soc_sdram_bankmachine4_trccon_count <= 3'd0;
- soc_sdram_bankmachine4_trascon_ready <= 1'd1;
- soc_sdram_bankmachine4_trascon_count <= 3'd0;
- soc_sdram_bankmachine5_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine5_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine5_row <= 14'd0;
- soc_sdram_bankmachine5_row_opened <= 1'd0;
- soc_sdram_bankmachine5_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine5_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine5_trccon_ready <= 1'd1;
- soc_sdram_bankmachine5_trccon_count <= 3'd0;
- soc_sdram_bankmachine5_trascon_ready <= 1'd1;
- soc_sdram_bankmachine5_trascon_count <= 3'd0;
- soc_sdram_bankmachine6_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine6_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine6_row <= 14'd0;
- soc_sdram_bankmachine6_row_opened <= 1'd0;
- soc_sdram_bankmachine6_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine6_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine6_trccon_ready <= 1'd1;
- soc_sdram_bankmachine6_trccon_count <= 3'd0;
- soc_sdram_bankmachine6_trascon_ready <= 1'd1;
- soc_sdram_bankmachine6_trascon_count <= 3'd0;
- soc_sdram_bankmachine7_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine7_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine7_row <= 14'd0;
- soc_sdram_bankmachine7_row_opened <= 1'd0;
- soc_sdram_bankmachine7_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine7_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine7_trccon_ready <= 1'd1;
- soc_sdram_bankmachine7_trccon_count <= 3'd0;
- soc_sdram_bankmachine7_trascon_ready <= 1'd1;
- soc_sdram_bankmachine7_trascon_count <= 3'd0;
- soc_sdram_choose_cmd_grant <= 3'd0;
- soc_sdram_choose_req_grant <= 3'd0;
- soc_sdram_trrdcon_ready <= 1'd1;
- soc_sdram_trrdcon_count <= 1'd0;
- soc_sdram_tfawcon_ready <= 1'd1;
- soc_sdram_tfawcon_window <= 5'd0;
- soc_sdram_tccdcon_ready <= 1'd1;
- soc_sdram_tccdcon_count <= 1'd0;
- soc_sdram_twtrcon_ready <= 1'd1;
- soc_sdram_twtrcon_count <= 3'd0;
- soc_sdram_time0 <= 5'd0;
- soc_sdram_time1 <= 4'd0;
- soc_address_q <= 30'd0;
- soc_counter <= 2'd0;
- soc_need_refill_q <= 1'd1;
- soc_cached_datas_flipflop0_q <= 32'd0;
- soc_cached_datas_flipflop1_q <= 32'd0;
- soc_cached_datas_flipflop2_q <= 32'd0;
- soc_cached_datas_flipflop3_q <= 32'd0;
- soc_cached_sels_flipflop0_q <= 4'd0;
- soc_cached_sels_flipflop1_q <= 4'd0;
- soc_cached_sels_flipflop2_q <= 4'd0;
- soc_cached_sels_flipflop3_q <= 4'd0;
- soc_count <= 1'd0;
- soc_init_done_storage <= 1'd0;
- soc_init_done_re <= 1'd0;
- soc_init_error_storage <= 1'd0;
- soc_init_error_re <= 1'd0;
- vns_wb2csr_state <= 1'd0;
- vns_refresher_state <= 2'd0;
- vns_bankmachine0_state <= 4'd0;
- vns_bankmachine1_state <= 4'd0;
- vns_bankmachine2_state <= 4'd0;
- vns_bankmachine3_state <= 4'd0;
- vns_bankmachine4_state <= 4'd0;
- vns_bankmachine5_state <= 4'd0;
- vns_bankmachine6_state <= 4'd0;
- vns_bankmachine7_state <= 4'd0;
- vns_multiplexer_state <= 4'd0;
- vns_roundrobin0_grant <= 1'd0;
- vns_roundrobin1_grant <= 1'd0;
- vns_roundrobin2_grant <= 1'd0;
- vns_roundrobin3_grant <= 1'd0;
- vns_roundrobin4_grant <= 1'd0;
- vns_roundrobin5_grant <= 1'd0;
- vns_roundrobin6_grant <= 1'd0;
- vns_roundrobin7_grant <= 1'd0;
- vns_new_master_wdata_ready0 <= 1'd0;
- vns_new_master_wdata_ready1 <= 1'd0;
- vns_new_master_wdata_ready2 <= 1'd0;
- vns_new_master_wdata_ready3 <= 1'd0;
- vns_new_master_wdata_ready4 <= 1'd0;
- vns_new_master_wdata_ready5 <= 1'd0;
- vns_new_master_rdata_valid0 <= 1'd0;
- vns_new_master_rdata_valid1 <= 1'd0;
- vns_new_master_rdata_valid2 <= 1'd0;
- vns_new_master_rdata_valid3 <= 1'd0;
- vns_new_master_rdata_valid4 <= 1'd0;
- vns_new_master_rdata_valid5 <= 1'd0;
- vns_new_master_rdata_valid6 <= 1'd0;
- vns_new_master_rdata_valid7 <= 1'd0;
- vns_new_master_rdata_valid8 <= 1'd0;
- vns_new_master_rdata_valid9 <= 1'd0;
- vns_new_master_rdata_valid10 <= 1'd0;
- vns_new_master_rdata_valid11 <= 1'd0;
- vns_new_master_rdata_valid12 <= 1'd0;
- vns_new_master_rdata_valid13 <= 1'd0;
- vns_new_master_rdata_valid14 <= 1'd0;
- vns_new_master_rdata_valid15 <= 1'd0;
- vns_new_master_rdata_valid16 <= 1'd0;
- vns_new_master_rdata_valid17 <= 1'd0;
- vns_converter_state <= 3'd0;
- vns_litedramwishbone2native_state <= 2'd0;
- vns_grant <= 1'd0;
- vns_slave_sel_r <= 4'd0;
- vns_count <= 20'd1000000;
- end
- vns_regs0 <= serial_rx;
- vns_regs1 <= vns_regs0;
-end
-
-reg [31:0] mem[0:6143];
-reg [31:0] memdat;
-always @(posedge sys_clk) begin
- memdat <= mem[soc_litedramcore_litedramcore_adr];
-end
-
-assign soc_litedramcore_litedramcore_dat_r = memdat;
-
-initial begin
- $readmemh("litedram_core.init", mem);
-end
-
-reg [31:0] mem_1[0:1023];
-reg [9:0] memadr;
-always @(posedge sys_clk) begin
- if (soc_litedramcore_ram_we[0])
- mem_1[soc_litedramcore_ram_adr][7:0] <= soc_litedramcore_ram_dat_w[7:0];
- if (soc_litedramcore_ram_we[1])
- mem_1[soc_litedramcore_ram_adr][15:8] <= soc_litedramcore_ram_dat_w[15:8];
- if (soc_litedramcore_ram_we[2])
- mem_1[soc_litedramcore_ram_adr][23:16] <= soc_litedramcore_ram_dat_w[23:16];
- if (soc_litedramcore_ram_we[3])
- mem_1[soc_litedramcore_ram_adr][31:24] <= soc_litedramcore_ram_dat_w[31:24];
- memadr <= soc_litedramcore_ram_adr;
-end
-
-assign soc_litedramcore_ram_dat_r = mem_1[memadr];
-
-initial begin
- $readmemh("mem_1.init", mem_1);
-end
-
-reg [9:0] storage[0:15];
-reg [9:0] memdat_1;
-reg [9:0] memdat_2;
-always @(posedge sys_clk) begin
- if (soc_litedramcore_uart_tx_fifo_wrport_we)
- storage[soc_litedramcore_uart_tx_fifo_wrport_adr] <= soc_litedramcore_uart_tx_fifo_wrport_dat_w;
- memdat_1 <= storage[soc_litedramcore_uart_tx_fifo_wrport_adr];
-end
-
-always @(posedge sys_clk) begin
- if (soc_litedramcore_uart_tx_fifo_rdport_re)
- memdat_2 <= storage[soc_litedramcore_uart_tx_fifo_rdport_adr];
-end
-
-assign soc_litedramcore_uart_tx_fifo_wrport_dat_r = memdat_1;
-assign soc_litedramcore_uart_tx_fifo_rdport_dat_r = memdat_2;
-
-reg [9:0] storage_1[0:15];
-reg [9:0] memdat_3;
-reg [9:0] memdat_4;
-always @(posedge sys_clk) begin
- if (soc_litedramcore_uart_rx_fifo_wrport_we)
- storage_1[soc_litedramcore_uart_rx_fifo_wrport_adr] <= soc_litedramcore_uart_rx_fifo_wrport_dat_w;
- memdat_3 <= storage_1[soc_litedramcore_uart_rx_fifo_wrport_adr];
-end
-
-always @(posedge sys_clk) begin
- if (soc_litedramcore_uart_rx_fifo_rdport_re)
- memdat_4 <= storage_1[soc_litedramcore_uart_rx_fifo_rdport_adr];
+ a7ddrphy_half_sys8x_taps_storage <= 5'd8;
+ a7ddrphy_half_sys8x_taps_re <= 1'd0;
+ a7ddrphy_wlevel_en_storage <= 1'd0;
+ a7ddrphy_wlevel_en_re <= 1'd0;
+ a7ddrphy_dly_sel_storage <= 2'd0;
+ a7ddrphy_dly_sel_re <= 1'd0;
+ a7ddrphy_dfi_p0_rddata_valid <= 1'd0;
+ a7ddrphy_dfi_p1_rddata_valid <= 1'd0;
+ a7ddrphy_dfi_p2_rddata_valid <= 1'd0;
+ a7ddrphy_dfi_p3_rddata_valid <= 1'd0;
+ a7ddrphy_dqs_oe_delayed <= 1'd0;
+ a7ddrphy_dqspattern_o1 <= 8'd0;
+ a7ddrphy_dq_oe_delayed <= 1'd0;
+ a7ddrphy_bitslip0_value <= 3'd0;
+ a7ddrphy_bitslip1_value <= 3'd0;
+ a7ddrphy_bitslip2_value <= 3'd0;
+ a7ddrphy_bitslip3_value <= 3'd0;
+ a7ddrphy_bitslip4_value <= 3'd0;
+ a7ddrphy_bitslip5_value <= 3'd0;
+ a7ddrphy_bitslip6_value <= 3'd0;
+ a7ddrphy_bitslip7_value <= 3'd0;
+ a7ddrphy_bitslip8_value <= 3'd0;
+ a7ddrphy_bitslip9_value <= 3'd0;
+ a7ddrphy_bitslip10_value <= 3'd0;
+ a7ddrphy_bitslip11_value <= 3'd0;
+ a7ddrphy_bitslip12_value <= 3'd0;
+ a7ddrphy_bitslip13_value <= 3'd0;
+ a7ddrphy_bitslip14_value <= 3'd0;
+ a7ddrphy_bitslip15_value <= 3'd0;
+ a7ddrphy_rddata_en_last <= 8'd0;
+ a7ddrphy_wrdata_en_last <= 4'd0;
+ litedramcore_storage <= 4'd0;
+ litedramcore_re <= 1'd0;
+ litedramcore_phaseinjector0_command_storage <= 6'd0;
+ litedramcore_phaseinjector0_command_re <= 1'd0;
+ litedramcore_phaseinjector0_address_re <= 1'd0;
+ litedramcore_phaseinjector0_baddress_re <= 1'd0;
+ litedramcore_phaseinjector0_wrdata_re <= 1'd0;
+ litedramcore_phaseinjector0_status <= 32'd0;
+ litedramcore_phaseinjector1_command_storage <= 6'd0;
+ litedramcore_phaseinjector1_command_re <= 1'd0;
+ litedramcore_phaseinjector1_address_re <= 1'd0;
+ litedramcore_phaseinjector1_baddress_re <= 1'd0;
+ litedramcore_phaseinjector1_wrdata_re <= 1'd0;
+ litedramcore_phaseinjector1_status <= 32'd0;
+ litedramcore_phaseinjector2_command_storage <= 6'd0;
+ litedramcore_phaseinjector2_command_re <= 1'd0;
+ litedramcore_phaseinjector2_address_re <= 1'd0;
+ litedramcore_phaseinjector2_baddress_re <= 1'd0;
+ litedramcore_phaseinjector2_wrdata_re <= 1'd0;
+ litedramcore_phaseinjector2_status <= 32'd0;
+ litedramcore_phaseinjector3_command_storage <= 6'd0;
+ litedramcore_phaseinjector3_command_re <= 1'd0;
+ litedramcore_phaseinjector3_address_re <= 1'd0;
+ litedramcore_phaseinjector3_baddress_re <= 1'd0;
+ litedramcore_phaseinjector3_wrdata_re <= 1'd0;
+ litedramcore_phaseinjector3_status <= 32'd0;
+ litedramcore_dfi_p0_address <= 14'd0;
+ litedramcore_dfi_p0_bank <= 3'd0;
+ litedramcore_dfi_p0_cas_n <= 1'd1;
+ litedramcore_dfi_p0_cs_n <= 1'd1;
+ litedramcore_dfi_p0_ras_n <= 1'd1;
+ litedramcore_dfi_p0_we_n <= 1'd1;
+ litedramcore_dfi_p0_wrdata_en <= 1'd0;
+ litedramcore_dfi_p0_rddata_en <= 1'd0;
+ litedramcore_dfi_p1_address <= 14'd0;
+ litedramcore_dfi_p1_bank <= 3'd0;
+ litedramcore_dfi_p1_cas_n <= 1'd1;
+ litedramcore_dfi_p1_cs_n <= 1'd1;
+ litedramcore_dfi_p1_ras_n <= 1'd1;
+ litedramcore_dfi_p1_we_n <= 1'd1;
+ litedramcore_dfi_p1_wrdata_en <= 1'd0;
+ litedramcore_dfi_p1_rddata_en <= 1'd0;
+ litedramcore_dfi_p2_address <= 14'd0;
+ litedramcore_dfi_p2_bank <= 3'd0;
+ litedramcore_dfi_p2_cas_n <= 1'd1;
+ litedramcore_dfi_p2_cs_n <= 1'd1;
+ litedramcore_dfi_p2_ras_n <= 1'd1;
+ litedramcore_dfi_p2_we_n <= 1'd1;
+ litedramcore_dfi_p2_wrdata_en <= 1'd0;
+ litedramcore_dfi_p2_rddata_en <= 1'd0;
+ litedramcore_dfi_p3_address <= 14'd0;
+ litedramcore_dfi_p3_bank <= 3'd0;
+ litedramcore_dfi_p3_cas_n <= 1'd1;
+ litedramcore_dfi_p3_cs_n <= 1'd1;
+ litedramcore_dfi_p3_ras_n <= 1'd1;
+ litedramcore_dfi_p3_we_n <= 1'd1;
+ litedramcore_dfi_p3_wrdata_en <= 1'd0;
+ litedramcore_dfi_p3_rddata_en <= 1'd0;
+ litedramcore_timer_count1 <= 10'd781;
+ litedramcore_postponer_req_o <= 1'd0;
+ litedramcore_postponer_count <= 1'd0;
+ litedramcore_sequencer_done1 <= 1'd0;
+ litedramcore_sequencer_counter <= 6'd0;
+ litedramcore_sequencer_count <= 1'd0;
+ litedramcore_zqcs_timer_count1 <= 27'd99999999;
+ litedramcore_zqcs_executer_done <= 1'd0;
+ litedramcore_zqcs_executer_counter <= 5'd0;
+ litedramcore_bankmachine0_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine0_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine0_row <= 14'd0;
+ litedramcore_bankmachine0_row_opened <= 1'd0;
+ litedramcore_bankmachine0_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine0_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine0_trccon_ready <= 1'd1;
+ litedramcore_bankmachine0_trccon_count <= 3'd0;
+ litedramcore_bankmachine0_trascon_ready <= 1'd1;
+ litedramcore_bankmachine0_trascon_count <= 3'd0;
+ litedramcore_bankmachine1_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine1_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine1_row <= 14'd0;
+ litedramcore_bankmachine1_row_opened <= 1'd0;
+ litedramcore_bankmachine1_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine1_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine1_trccon_ready <= 1'd1;
+ litedramcore_bankmachine1_trccon_count <= 3'd0;
+ litedramcore_bankmachine1_trascon_ready <= 1'd1;
+ litedramcore_bankmachine1_trascon_count <= 3'd0;
+ litedramcore_bankmachine2_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine2_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine2_row <= 14'd0;
+ litedramcore_bankmachine2_row_opened <= 1'd0;
+ litedramcore_bankmachine2_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine2_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine2_trccon_ready <= 1'd1;
+ litedramcore_bankmachine2_trccon_count <= 3'd0;
+ litedramcore_bankmachine2_trascon_ready <= 1'd1;
+ litedramcore_bankmachine2_trascon_count <= 3'd0;
+ litedramcore_bankmachine3_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine3_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine3_row <= 14'd0;
+ litedramcore_bankmachine3_row_opened <= 1'd0;
+ litedramcore_bankmachine3_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine3_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine3_trccon_ready <= 1'd1;
+ litedramcore_bankmachine3_trccon_count <= 3'd0;
+ litedramcore_bankmachine3_trascon_ready <= 1'd1;
+ litedramcore_bankmachine3_trascon_count <= 3'd0;
+ litedramcore_bankmachine4_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine4_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine4_row <= 14'd0;
+ litedramcore_bankmachine4_row_opened <= 1'd0;
+ litedramcore_bankmachine4_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine4_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine4_trccon_ready <= 1'd1;
+ litedramcore_bankmachine4_trccon_count <= 3'd0;
+ litedramcore_bankmachine4_trascon_ready <= 1'd1;
+ litedramcore_bankmachine4_trascon_count <= 3'd0;
+ litedramcore_bankmachine5_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine5_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine5_row <= 14'd0;
+ litedramcore_bankmachine5_row_opened <= 1'd0;
+ litedramcore_bankmachine5_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine5_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine5_trccon_ready <= 1'd1;
+ litedramcore_bankmachine5_trccon_count <= 3'd0;
+ litedramcore_bankmachine5_trascon_ready <= 1'd1;
+ litedramcore_bankmachine5_trascon_count <= 3'd0;
+ litedramcore_bankmachine6_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine6_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine6_row <= 14'd0;
+ litedramcore_bankmachine6_row_opened <= 1'd0;
+ litedramcore_bankmachine6_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine6_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine6_trccon_ready <= 1'd1;
+ litedramcore_bankmachine6_trccon_count <= 3'd0;
+ litedramcore_bankmachine6_trascon_ready <= 1'd1;
+ litedramcore_bankmachine6_trascon_count <= 3'd0;
+ litedramcore_bankmachine7_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine7_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine7_row <= 14'd0;
+ litedramcore_bankmachine7_row_opened <= 1'd0;
+ litedramcore_bankmachine7_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine7_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine7_trccon_ready <= 1'd1;
+ litedramcore_bankmachine7_trccon_count <= 3'd0;
+ litedramcore_bankmachine7_trascon_ready <= 1'd1;
+ litedramcore_bankmachine7_trascon_count <= 3'd0;
+ litedramcore_choose_cmd_grant <= 3'd0;
+ litedramcore_choose_req_grant <= 3'd0;
+ litedramcore_trrdcon_ready <= 1'd1;
+ litedramcore_trrdcon_count <= 1'd0;
+ litedramcore_tfawcon_ready <= 1'd1;
+ litedramcore_tfawcon_window <= 5'd0;
+ litedramcore_tccdcon_ready <= 1'd1;
+ litedramcore_tccdcon_count <= 1'd0;
+ litedramcore_twtrcon_ready <= 1'd1;
+ litedramcore_twtrcon_count <= 3'd0;
+ litedramcore_time0 <= 5'd0;
+ litedramcore_time1 <= 4'd0;
+ init_done_storage <= 1'd0;
+ init_done_re <= 1'd0;
+ init_error_storage <= 1'd0;
+ init_error_re <= 1'd0;
+ refresher_state <= 2'd0;
+ bankmachine0_state <= 4'd0;
+ bankmachine1_state <= 4'd0;
+ bankmachine2_state <= 4'd0;
+ bankmachine3_state <= 4'd0;
+ bankmachine4_state <= 4'd0;
+ bankmachine5_state <= 4'd0;
+ bankmachine6_state <= 4'd0;
+ bankmachine7_state <= 4'd0;
+ multiplexer_state <= 4'd0;
+ new_master_wdata_ready0 <= 1'd0;
+ new_master_wdata_ready1 <= 1'd0;
+ new_master_wdata_ready2 <= 1'd0;
+ new_master_rdata_valid0 <= 1'd0;
+ new_master_rdata_valid1 <= 1'd0;
+ new_master_rdata_valid2 <= 1'd0;
+ new_master_rdata_valid3 <= 1'd0;
+ new_master_rdata_valid4 <= 1'd0;
+ new_master_rdata_valid5 <= 1'd0;
+ new_master_rdata_valid6 <= 1'd0;
+ new_master_rdata_valid7 <= 1'd0;
+ new_master_rdata_valid8 <= 1'd0;
+ end
end
-assign soc_litedramcore_uart_rx_fifo_wrport_dat_r = memdat_3;
-assign soc_litedramcore_uart_rx_fifo_rdport_dat_r = memdat_4;
-
BUFG BUFG(
- .I(soc_s7pll0_clkout0),
- .O(soc_s7pll0_clkout_buf0)
+ .I(s7pll0_clkout0),
+ .O(s7pll0_clkout_buf0)
);
BUFG BUFG_1(
- .I(soc_s7pll0_clkout1),
- .O(soc_s7pll0_clkout_buf1)
+ .I(s7pll0_clkout1),
+ .O(s7pll0_clkout_buf1)
);
BUFG BUFG_2(
- .I(soc_s7pll0_clkout2),
- .O(soc_s7pll0_clkout_buf2)
+ .I(s7pll0_clkout2),
+ .O(s7pll0_clkout_buf2)
);
BUFG BUFG_3(
- .I(soc_s7pll1_clkout),
- .O(soc_s7pll1_clkout_buf)
+ .I(s7pll1_clkout),
+ .O(s7pll1_clkout_buf)
);
IDELAYCTRL IDELAYCTRL(
.REFCLK(iodelay_clk),
- .RST(soc_ic_reset)
+ .RST(ic_reset)
);
OSERDESE2 #(
.D8(1'd1),
.OCE(1'd1),
.RST(sys_rst),
- .OQ(soc_a7ddrphy_sd_clk_se_nodelay)
+ .OQ(a7ddrphy_sd_clk_se_nodelay)
);
OBUFDS OBUFDS(
- .I(soc_a7ddrphy_sd_clk_se_nodelay),
+ .I(a7ddrphy_sd_clk_se_nodelay),
.O(ddram_clk_p),
.OB(ddram_clk_n)
);
) OSERDESE2_1 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[0]),
- .D2(soc_a7ddrphy_dfi_p0_address[0]),
- .D3(soc_a7ddrphy_dfi_p1_address[0]),
- .D4(soc_a7ddrphy_dfi_p1_address[0]),
- .D5(soc_a7ddrphy_dfi_p2_address[0]),
- .D6(soc_a7ddrphy_dfi_p2_address[0]),
- .D7(soc_a7ddrphy_dfi_p3_address[0]),
- .D8(soc_a7ddrphy_dfi_p3_address[0]),
+ .D1(a7ddrphy_dfi_p0_address[0]),
+ .D2(a7ddrphy_dfi_p0_address[0]),
+ .D3(a7ddrphy_dfi_p1_address[0]),
+ .D4(a7ddrphy_dfi_p1_address[0]),
+ .D5(a7ddrphy_dfi_p2_address[0]),
+ .D6(a7ddrphy_dfi_p2_address[0]),
+ .D7(a7ddrphy_dfi_p3_address[0]),
+ .D8(a7ddrphy_dfi_p3_address[0]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[0])
) OSERDESE2_2 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[1]),
- .D2(soc_a7ddrphy_dfi_p0_address[1]),
- .D3(soc_a7ddrphy_dfi_p1_address[1]),
- .D4(soc_a7ddrphy_dfi_p1_address[1]),
- .D5(soc_a7ddrphy_dfi_p2_address[1]),
- .D6(soc_a7ddrphy_dfi_p2_address[1]),
- .D7(soc_a7ddrphy_dfi_p3_address[1]),
- .D8(soc_a7ddrphy_dfi_p3_address[1]),
+ .D1(a7ddrphy_dfi_p0_address[1]),
+ .D2(a7ddrphy_dfi_p0_address[1]),
+ .D3(a7ddrphy_dfi_p1_address[1]),
+ .D4(a7ddrphy_dfi_p1_address[1]),
+ .D5(a7ddrphy_dfi_p2_address[1]),
+ .D6(a7ddrphy_dfi_p2_address[1]),
+ .D7(a7ddrphy_dfi_p3_address[1]),
+ .D8(a7ddrphy_dfi_p3_address[1]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[1])
) OSERDESE2_3 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[2]),
- .D2(soc_a7ddrphy_dfi_p0_address[2]),
- .D3(soc_a7ddrphy_dfi_p1_address[2]),
- .D4(soc_a7ddrphy_dfi_p1_address[2]),
- .D5(soc_a7ddrphy_dfi_p2_address[2]),
- .D6(soc_a7ddrphy_dfi_p2_address[2]),
- .D7(soc_a7ddrphy_dfi_p3_address[2]),
- .D8(soc_a7ddrphy_dfi_p3_address[2]),
+ .D1(a7ddrphy_dfi_p0_address[2]),
+ .D2(a7ddrphy_dfi_p0_address[2]),
+ .D3(a7ddrphy_dfi_p1_address[2]),
+ .D4(a7ddrphy_dfi_p1_address[2]),
+ .D5(a7ddrphy_dfi_p2_address[2]),
+ .D6(a7ddrphy_dfi_p2_address[2]),
+ .D7(a7ddrphy_dfi_p3_address[2]),
+ .D8(a7ddrphy_dfi_p3_address[2]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[2])
) OSERDESE2_4 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[3]),
- .D2(soc_a7ddrphy_dfi_p0_address[3]),
- .D3(soc_a7ddrphy_dfi_p1_address[3]),
- .D4(soc_a7ddrphy_dfi_p1_address[3]),
- .D5(soc_a7ddrphy_dfi_p2_address[3]),
- .D6(soc_a7ddrphy_dfi_p2_address[3]),
- .D7(soc_a7ddrphy_dfi_p3_address[3]),
- .D8(soc_a7ddrphy_dfi_p3_address[3]),
+ .D1(a7ddrphy_dfi_p0_address[3]),
+ .D2(a7ddrphy_dfi_p0_address[3]),
+ .D3(a7ddrphy_dfi_p1_address[3]),
+ .D4(a7ddrphy_dfi_p1_address[3]),
+ .D5(a7ddrphy_dfi_p2_address[3]),
+ .D6(a7ddrphy_dfi_p2_address[3]),
+ .D7(a7ddrphy_dfi_p3_address[3]),
+ .D8(a7ddrphy_dfi_p3_address[3]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[3])
) OSERDESE2_5 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[4]),
- .D2(soc_a7ddrphy_dfi_p0_address[4]),
- .D3(soc_a7ddrphy_dfi_p1_address[4]),
- .D4(soc_a7ddrphy_dfi_p1_address[4]),
- .D5(soc_a7ddrphy_dfi_p2_address[4]),
- .D6(soc_a7ddrphy_dfi_p2_address[4]),
- .D7(soc_a7ddrphy_dfi_p3_address[4]),
- .D8(soc_a7ddrphy_dfi_p3_address[4]),
+ .D1(a7ddrphy_dfi_p0_address[4]),
+ .D2(a7ddrphy_dfi_p0_address[4]),
+ .D3(a7ddrphy_dfi_p1_address[4]),
+ .D4(a7ddrphy_dfi_p1_address[4]),
+ .D5(a7ddrphy_dfi_p2_address[4]),
+ .D6(a7ddrphy_dfi_p2_address[4]),
+ .D7(a7ddrphy_dfi_p3_address[4]),
+ .D8(a7ddrphy_dfi_p3_address[4]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[4])
) OSERDESE2_6 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[5]),
- .D2(soc_a7ddrphy_dfi_p0_address[5]),
- .D3(soc_a7ddrphy_dfi_p1_address[5]),
- .D4(soc_a7ddrphy_dfi_p1_address[5]),
- .D5(soc_a7ddrphy_dfi_p2_address[5]),
- .D6(soc_a7ddrphy_dfi_p2_address[5]),
- .D7(soc_a7ddrphy_dfi_p3_address[5]),
- .D8(soc_a7ddrphy_dfi_p3_address[5]),
+ .D1(a7ddrphy_dfi_p0_address[5]),
+ .D2(a7ddrphy_dfi_p0_address[5]),
+ .D3(a7ddrphy_dfi_p1_address[5]),
+ .D4(a7ddrphy_dfi_p1_address[5]),
+ .D5(a7ddrphy_dfi_p2_address[5]),
+ .D6(a7ddrphy_dfi_p2_address[5]),
+ .D7(a7ddrphy_dfi_p3_address[5]),
+ .D8(a7ddrphy_dfi_p3_address[5]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[5])
) OSERDESE2_7 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[6]),
- .D2(soc_a7ddrphy_dfi_p0_address[6]),
- .D3(soc_a7ddrphy_dfi_p1_address[6]),
- .D4(soc_a7ddrphy_dfi_p1_address[6]),
- .D5(soc_a7ddrphy_dfi_p2_address[6]),
- .D6(soc_a7ddrphy_dfi_p2_address[6]),
- .D7(soc_a7ddrphy_dfi_p3_address[6]),
- .D8(soc_a7ddrphy_dfi_p3_address[6]),
+ .D1(a7ddrphy_dfi_p0_address[6]),
+ .D2(a7ddrphy_dfi_p0_address[6]),
+ .D3(a7ddrphy_dfi_p1_address[6]),
+ .D4(a7ddrphy_dfi_p1_address[6]),
+ .D5(a7ddrphy_dfi_p2_address[6]),
+ .D6(a7ddrphy_dfi_p2_address[6]),
+ .D7(a7ddrphy_dfi_p3_address[6]),
+ .D8(a7ddrphy_dfi_p3_address[6]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[6])
) OSERDESE2_8 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[7]),
- .D2(soc_a7ddrphy_dfi_p0_address[7]),
- .D3(soc_a7ddrphy_dfi_p1_address[7]),
- .D4(soc_a7ddrphy_dfi_p1_address[7]),
- .D5(soc_a7ddrphy_dfi_p2_address[7]),
- .D6(soc_a7ddrphy_dfi_p2_address[7]),
- .D7(soc_a7ddrphy_dfi_p3_address[7]),
- .D8(soc_a7ddrphy_dfi_p3_address[7]),
+ .D1(a7ddrphy_dfi_p0_address[7]),
+ .D2(a7ddrphy_dfi_p0_address[7]),
+ .D3(a7ddrphy_dfi_p1_address[7]),
+ .D4(a7ddrphy_dfi_p1_address[7]),
+ .D5(a7ddrphy_dfi_p2_address[7]),
+ .D6(a7ddrphy_dfi_p2_address[7]),
+ .D7(a7ddrphy_dfi_p3_address[7]),
+ .D8(a7ddrphy_dfi_p3_address[7]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[7])
) OSERDESE2_9 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[8]),
- .D2(soc_a7ddrphy_dfi_p0_address[8]),
- .D3(soc_a7ddrphy_dfi_p1_address[8]),
- .D4(soc_a7ddrphy_dfi_p1_address[8]),
- .D5(soc_a7ddrphy_dfi_p2_address[8]),
- .D6(soc_a7ddrphy_dfi_p2_address[8]),
- .D7(soc_a7ddrphy_dfi_p3_address[8]),
- .D8(soc_a7ddrphy_dfi_p3_address[8]),
+ .D1(a7ddrphy_dfi_p0_address[8]),
+ .D2(a7ddrphy_dfi_p0_address[8]),
+ .D3(a7ddrphy_dfi_p1_address[8]),
+ .D4(a7ddrphy_dfi_p1_address[8]),
+ .D5(a7ddrphy_dfi_p2_address[8]),
+ .D6(a7ddrphy_dfi_p2_address[8]),
+ .D7(a7ddrphy_dfi_p3_address[8]),
+ .D8(a7ddrphy_dfi_p3_address[8]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[8])
) OSERDESE2_10 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[9]),
- .D2(soc_a7ddrphy_dfi_p0_address[9]),
- .D3(soc_a7ddrphy_dfi_p1_address[9]),
- .D4(soc_a7ddrphy_dfi_p1_address[9]),
- .D5(soc_a7ddrphy_dfi_p2_address[9]),
- .D6(soc_a7ddrphy_dfi_p2_address[9]),
- .D7(soc_a7ddrphy_dfi_p3_address[9]),
- .D8(soc_a7ddrphy_dfi_p3_address[9]),
+ .D1(a7ddrphy_dfi_p0_address[9]),
+ .D2(a7ddrphy_dfi_p0_address[9]),
+ .D3(a7ddrphy_dfi_p1_address[9]),
+ .D4(a7ddrphy_dfi_p1_address[9]),
+ .D5(a7ddrphy_dfi_p2_address[9]),
+ .D6(a7ddrphy_dfi_p2_address[9]),
+ .D7(a7ddrphy_dfi_p3_address[9]),
+ .D8(a7ddrphy_dfi_p3_address[9]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[9])
) OSERDESE2_11 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[10]),
- .D2(soc_a7ddrphy_dfi_p0_address[10]),
- .D3(soc_a7ddrphy_dfi_p1_address[10]),
- .D4(soc_a7ddrphy_dfi_p1_address[10]),
- .D5(soc_a7ddrphy_dfi_p2_address[10]),
- .D6(soc_a7ddrphy_dfi_p2_address[10]),
- .D7(soc_a7ddrphy_dfi_p3_address[10]),
- .D8(soc_a7ddrphy_dfi_p3_address[10]),
+ .D1(a7ddrphy_dfi_p0_address[10]),
+ .D2(a7ddrphy_dfi_p0_address[10]),
+ .D3(a7ddrphy_dfi_p1_address[10]),
+ .D4(a7ddrphy_dfi_p1_address[10]),
+ .D5(a7ddrphy_dfi_p2_address[10]),
+ .D6(a7ddrphy_dfi_p2_address[10]),
+ .D7(a7ddrphy_dfi_p3_address[10]),
+ .D8(a7ddrphy_dfi_p3_address[10]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[10])
) OSERDESE2_12 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[11]),
- .D2(soc_a7ddrphy_dfi_p0_address[11]),
- .D3(soc_a7ddrphy_dfi_p1_address[11]),
- .D4(soc_a7ddrphy_dfi_p1_address[11]),
- .D5(soc_a7ddrphy_dfi_p2_address[11]),
- .D6(soc_a7ddrphy_dfi_p2_address[11]),
- .D7(soc_a7ddrphy_dfi_p3_address[11]),
- .D8(soc_a7ddrphy_dfi_p3_address[11]),
+ .D1(a7ddrphy_dfi_p0_address[11]),
+ .D2(a7ddrphy_dfi_p0_address[11]),
+ .D3(a7ddrphy_dfi_p1_address[11]),
+ .D4(a7ddrphy_dfi_p1_address[11]),
+ .D5(a7ddrphy_dfi_p2_address[11]),
+ .D6(a7ddrphy_dfi_p2_address[11]),
+ .D7(a7ddrphy_dfi_p3_address[11]),
+ .D8(a7ddrphy_dfi_p3_address[11]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[11])
) OSERDESE2_13 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[12]),
- .D2(soc_a7ddrphy_dfi_p0_address[12]),
- .D3(soc_a7ddrphy_dfi_p1_address[12]),
- .D4(soc_a7ddrphy_dfi_p1_address[12]),
- .D5(soc_a7ddrphy_dfi_p2_address[12]),
- .D6(soc_a7ddrphy_dfi_p2_address[12]),
- .D7(soc_a7ddrphy_dfi_p3_address[12]),
- .D8(soc_a7ddrphy_dfi_p3_address[12]),
+ .D1(a7ddrphy_dfi_p0_address[12]),
+ .D2(a7ddrphy_dfi_p0_address[12]),
+ .D3(a7ddrphy_dfi_p1_address[12]),
+ .D4(a7ddrphy_dfi_p1_address[12]),
+ .D5(a7ddrphy_dfi_p2_address[12]),
+ .D6(a7ddrphy_dfi_p2_address[12]),
+ .D7(a7ddrphy_dfi_p3_address[12]),
+ .D8(a7ddrphy_dfi_p3_address[12]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[12])
) OSERDESE2_14 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[13]),
- .D2(soc_a7ddrphy_dfi_p0_address[13]),
- .D3(soc_a7ddrphy_dfi_p1_address[13]),
- .D4(soc_a7ddrphy_dfi_p1_address[13]),
- .D5(soc_a7ddrphy_dfi_p2_address[13]),
- .D6(soc_a7ddrphy_dfi_p2_address[13]),
- .D7(soc_a7ddrphy_dfi_p3_address[13]),
- .D8(soc_a7ddrphy_dfi_p3_address[13]),
+ .D1(a7ddrphy_dfi_p0_address[13]),
+ .D2(a7ddrphy_dfi_p0_address[13]),
+ .D3(a7ddrphy_dfi_p1_address[13]),
+ .D4(a7ddrphy_dfi_p1_address[13]),
+ .D5(a7ddrphy_dfi_p2_address[13]),
+ .D6(a7ddrphy_dfi_p2_address[13]),
+ .D7(a7ddrphy_dfi_p3_address[13]),
+ .D8(a7ddrphy_dfi_p3_address[13]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[13])
) OSERDESE2_15 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_bank[0]),
- .D2(soc_a7ddrphy_dfi_p0_bank[0]),
- .D3(soc_a7ddrphy_dfi_p1_bank[0]),
- .D4(soc_a7ddrphy_dfi_p1_bank[0]),
- .D5(soc_a7ddrphy_dfi_p2_bank[0]),
- .D6(soc_a7ddrphy_dfi_p2_bank[0]),
- .D7(soc_a7ddrphy_dfi_p3_bank[0]),
- .D8(soc_a7ddrphy_dfi_p3_bank[0]),
+ .D1(a7ddrphy_dfi_p0_bank[0]),
+ .D2(a7ddrphy_dfi_p0_bank[0]),
+ .D3(a7ddrphy_dfi_p1_bank[0]),
+ .D4(a7ddrphy_dfi_p1_bank[0]),
+ .D5(a7ddrphy_dfi_p2_bank[0]),
+ .D6(a7ddrphy_dfi_p2_bank[0]),
+ .D7(a7ddrphy_dfi_p3_bank[0]),
+ .D8(a7ddrphy_dfi_p3_bank[0]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ba[0])
) OSERDESE2_16 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_bank[1]),
- .D2(soc_a7ddrphy_dfi_p0_bank[1]),
- .D3(soc_a7ddrphy_dfi_p1_bank[1]),
- .D4(soc_a7ddrphy_dfi_p1_bank[1]),
- .D5(soc_a7ddrphy_dfi_p2_bank[1]),
- .D6(soc_a7ddrphy_dfi_p2_bank[1]),
- .D7(soc_a7ddrphy_dfi_p3_bank[1]),
- .D8(soc_a7ddrphy_dfi_p3_bank[1]),
+ .D1(a7ddrphy_dfi_p0_bank[1]),
+ .D2(a7ddrphy_dfi_p0_bank[1]),
+ .D3(a7ddrphy_dfi_p1_bank[1]),
+ .D4(a7ddrphy_dfi_p1_bank[1]),
+ .D5(a7ddrphy_dfi_p2_bank[1]),
+ .D6(a7ddrphy_dfi_p2_bank[1]),
+ .D7(a7ddrphy_dfi_p3_bank[1]),
+ .D8(a7ddrphy_dfi_p3_bank[1]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ba[1])
) OSERDESE2_17 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_bank[2]),
- .D2(soc_a7ddrphy_dfi_p0_bank[2]),
- .D3(soc_a7ddrphy_dfi_p1_bank[2]),
- .D4(soc_a7ddrphy_dfi_p1_bank[2]),
- .D5(soc_a7ddrphy_dfi_p2_bank[2]),
- .D6(soc_a7ddrphy_dfi_p2_bank[2]),
- .D7(soc_a7ddrphy_dfi_p3_bank[2]),
- .D8(soc_a7ddrphy_dfi_p3_bank[2]),
+ .D1(a7ddrphy_dfi_p0_bank[2]),
+ .D2(a7ddrphy_dfi_p0_bank[2]),
+ .D3(a7ddrphy_dfi_p1_bank[2]),
+ .D4(a7ddrphy_dfi_p1_bank[2]),
+ .D5(a7ddrphy_dfi_p2_bank[2]),
+ .D6(a7ddrphy_dfi_p2_bank[2]),
+ .D7(a7ddrphy_dfi_p3_bank[2]),
+ .D8(a7ddrphy_dfi_p3_bank[2]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ba[2])
) OSERDESE2_18 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_ras_n),
- .D2(soc_a7ddrphy_dfi_p0_ras_n),
- .D3(soc_a7ddrphy_dfi_p1_ras_n),
- .D4(soc_a7ddrphy_dfi_p1_ras_n),
- .D5(soc_a7ddrphy_dfi_p2_ras_n),
- .D6(soc_a7ddrphy_dfi_p2_ras_n),
- .D7(soc_a7ddrphy_dfi_p3_ras_n),
- .D8(soc_a7ddrphy_dfi_p3_ras_n),
+ .D1(a7ddrphy_dfi_p0_ras_n),
+ .D2(a7ddrphy_dfi_p0_ras_n),
+ .D3(a7ddrphy_dfi_p1_ras_n),
+ .D4(a7ddrphy_dfi_p1_ras_n),
+ .D5(a7ddrphy_dfi_p2_ras_n),
+ .D6(a7ddrphy_dfi_p2_ras_n),
+ .D7(a7ddrphy_dfi_p3_ras_n),
+ .D8(a7ddrphy_dfi_p3_ras_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ras_n)
) OSERDESE2_19 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_cas_n),
- .D2(soc_a7ddrphy_dfi_p0_cas_n),
- .D3(soc_a7ddrphy_dfi_p1_cas_n),
- .D4(soc_a7ddrphy_dfi_p1_cas_n),
- .D5(soc_a7ddrphy_dfi_p2_cas_n),
- .D6(soc_a7ddrphy_dfi_p2_cas_n),
- .D7(soc_a7ddrphy_dfi_p3_cas_n),
- .D8(soc_a7ddrphy_dfi_p3_cas_n),
+ .D1(a7ddrphy_dfi_p0_cas_n),
+ .D2(a7ddrphy_dfi_p0_cas_n),
+ .D3(a7ddrphy_dfi_p1_cas_n),
+ .D4(a7ddrphy_dfi_p1_cas_n),
+ .D5(a7ddrphy_dfi_p2_cas_n),
+ .D6(a7ddrphy_dfi_p2_cas_n),
+ .D7(a7ddrphy_dfi_p3_cas_n),
+ .D8(a7ddrphy_dfi_p3_cas_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_cas_n)
) OSERDESE2_20 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_we_n),
- .D2(soc_a7ddrphy_dfi_p0_we_n),
- .D3(soc_a7ddrphy_dfi_p1_we_n),
- .D4(soc_a7ddrphy_dfi_p1_we_n),
- .D5(soc_a7ddrphy_dfi_p2_we_n),
- .D6(soc_a7ddrphy_dfi_p2_we_n),
- .D7(soc_a7ddrphy_dfi_p3_we_n),
- .D8(soc_a7ddrphy_dfi_p3_we_n),
+ .D1(a7ddrphy_dfi_p0_we_n),
+ .D2(a7ddrphy_dfi_p0_we_n),
+ .D3(a7ddrphy_dfi_p1_we_n),
+ .D4(a7ddrphy_dfi_p1_we_n),
+ .D5(a7ddrphy_dfi_p2_we_n),
+ .D6(a7ddrphy_dfi_p2_we_n),
+ .D7(a7ddrphy_dfi_p3_we_n),
+ .D8(a7ddrphy_dfi_p3_we_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_we_n)
) OSERDESE2_21 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_cke),
- .D2(soc_a7ddrphy_dfi_p0_cke),
- .D3(soc_a7ddrphy_dfi_p1_cke),
- .D4(soc_a7ddrphy_dfi_p1_cke),
- .D5(soc_a7ddrphy_dfi_p2_cke),
- .D6(soc_a7ddrphy_dfi_p2_cke),
- .D7(soc_a7ddrphy_dfi_p3_cke),
- .D8(soc_a7ddrphy_dfi_p3_cke),
+ .D1(a7ddrphy_dfi_p0_cke),
+ .D2(a7ddrphy_dfi_p0_cke),
+ .D3(a7ddrphy_dfi_p1_cke),
+ .D4(a7ddrphy_dfi_p1_cke),
+ .D5(a7ddrphy_dfi_p2_cke),
+ .D6(a7ddrphy_dfi_p2_cke),
+ .D7(a7ddrphy_dfi_p3_cke),
+ .D8(a7ddrphy_dfi_p3_cke),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_cke)
) OSERDESE2_22 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_odt),
- .D2(soc_a7ddrphy_dfi_p0_odt),
- .D3(soc_a7ddrphy_dfi_p1_odt),
- .D4(soc_a7ddrphy_dfi_p1_odt),
- .D5(soc_a7ddrphy_dfi_p2_odt),
- .D6(soc_a7ddrphy_dfi_p2_odt),
- .D7(soc_a7ddrphy_dfi_p3_odt),
- .D8(soc_a7ddrphy_dfi_p3_odt),
+ .D1(a7ddrphy_dfi_p0_odt),
+ .D2(a7ddrphy_dfi_p0_odt),
+ .D3(a7ddrphy_dfi_p1_odt),
+ .D4(a7ddrphy_dfi_p1_odt),
+ .D5(a7ddrphy_dfi_p2_odt),
+ .D6(a7ddrphy_dfi_p2_odt),
+ .D7(a7ddrphy_dfi_p3_odt),
+ .D8(a7ddrphy_dfi_p3_odt),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_odt)
) OSERDESE2_23 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_reset_n),
- .D2(soc_a7ddrphy_dfi_p0_reset_n),
- .D3(soc_a7ddrphy_dfi_p1_reset_n),
- .D4(soc_a7ddrphy_dfi_p1_reset_n),
- .D5(soc_a7ddrphy_dfi_p2_reset_n),
- .D6(soc_a7ddrphy_dfi_p2_reset_n),
- .D7(soc_a7ddrphy_dfi_p3_reset_n),
- .D8(soc_a7ddrphy_dfi_p3_reset_n),
+ .D1(a7ddrphy_dfi_p0_reset_n),
+ .D2(a7ddrphy_dfi_p0_reset_n),
+ .D3(a7ddrphy_dfi_p1_reset_n),
+ .D4(a7ddrphy_dfi_p1_reset_n),
+ .D5(a7ddrphy_dfi_p2_reset_n),
+ .D6(a7ddrphy_dfi_p2_reset_n),
+ .D7(a7ddrphy_dfi_p3_reset_n),
+ .D8(a7ddrphy_dfi_p3_reset_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_reset_n)
) OSERDESE2_24 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_cs_n),
- .D2(soc_a7ddrphy_dfi_p0_cs_n),
- .D3(soc_a7ddrphy_dfi_p1_cs_n),
- .D4(soc_a7ddrphy_dfi_p1_cs_n),
- .D5(soc_a7ddrphy_dfi_p2_cs_n),
- .D6(soc_a7ddrphy_dfi_p2_cs_n),
- .D7(soc_a7ddrphy_dfi_p3_cs_n),
- .D8(soc_a7ddrphy_dfi_p3_cs_n),
+ .D1(a7ddrphy_dfi_p0_cs_n),
+ .D2(a7ddrphy_dfi_p0_cs_n),
+ .D3(a7ddrphy_dfi_p1_cs_n),
+ .D4(a7ddrphy_dfi_p1_cs_n),
+ .D5(a7ddrphy_dfi_p2_cs_n),
+ .D6(a7ddrphy_dfi_p2_cs_n),
+ .D7(a7ddrphy_dfi_p3_cs_n),
+ .D8(a7ddrphy_dfi_p3_cs_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_cs_n)
) OSERDESE2_25 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata_mask[0]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata_mask[2]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata_mask[0]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata_mask[2]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata_mask[0]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata_mask[2]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata_mask[0]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata_mask[2]),
+ .D1(a7ddrphy_dfi_p0_wrdata_mask[0]),
+ .D2(a7ddrphy_dfi_p0_wrdata_mask[2]),
+ .D3(a7ddrphy_dfi_p1_wrdata_mask[0]),
+ .D4(a7ddrphy_dfi_p1_wrdata_mask[2]),
+ .D5(a7ddrphy_dfi_p2_wrdata_mask[0]),
+ .D6(a7ddrphy_dfi_p2_wrdata_mask[2]),
+ .D7(a7ddrphy_dfi_p3_wrdata_mask[0]),
+ .D8(a7ddrphy_dfi_p3_wrdata_mask[2]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_dm[0])
) OSERDESE2_26 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata_mask[1]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata_mask[3]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata_mask[1]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata_mask[3]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata_mask[1]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata_mask[3]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata_mask[1]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata_mask[3]),
+ .D1(a7ddrphy_dfi_p0_wrdata_mask[1]),
+ .D2(a7ddrphy_dfi_p0_wrdata_mask[3]),
+ .D3(a7ddrphy_dfi_p1_wrdata_mask[1]),
+ .D4(a7ddrphy_dfi_p1_wrdata_mask[3]),
+ .D5(a7ddrphy_dfi_p2_wrdata_mask[1]),
+ .D6(a7ddrphy_dfi_p2_wrdata_mask[3]),
+ .D7(a7ddrphy_dfi_p3_wrdata_mask[1]),
+ .D8(a7ddrphy_dfi_p3_wrdata_mask[3]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_dm[1])
) OSERDESE2_27 (
.CLK(sys4x_dqs_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dqspattern_o1[0]),
- .D2(soc_a7ddrphy_dqspattern_o1[1]),
- .D3(soc_a7ddrphy_dqspattern_o1[2]),
- .D4(soc_a7ddrphy_dqspattern_o1[3]),
- .D5(soc_a7ddrphy_dqspattern_o1[4]),
- .D6(soc_a7ddrphy_dqspattern_o1[5]),
- .D7(soc_a7ddrphy_dqspattern_o1[6]),
- .D8(soc_a7ddrphy_dqspattern_o1[7]),
+ .D1(a7ddrphy_dqspattern_o1[0]),
+ .D2(a7ddrphy_dqspattern_o1[1]),
+ .D3(a7ddrphy_dqspattern_o1[2]),
+ .D4(a7ddrphy_dqspattern_o1[3]),
+ .D5(a7ddrphy_dqspattern_o1[4]),
+ .D6(a7ddrphy_dqspattern_o1[5]),
+ .D7(a7ddrphy_dqspattern_o1[6]),
+ .D8(a7ddrphy_dqspattern_o1[7]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dqs_oe_delayed)),
+ .T1((~a7ddrphy_dqs_oe_delayed)),
.TCE(1'd1),
- .OFB(soc_a7ddrphy0),
- .OQ(soc_a7ddrphy_dqs_o_no_delay0),
- .TQ(soc_a7ddrphy_dqs_t0)
+ .OFB(a7ddrphy0),
+ .OQ(a7ddrphy_dqs_o_no_delay0),
+ .TQ(a7ddrphy_dqs_t0)
);
IDELAYE2 #(
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2 (
- .IDATAIN(soc_a7ddrphy_dqs_i[0]),
- .DATAOUT(soc_a7ddrphy_dqs_i_delayed[0])
+ .IDATAIN(a7ddrphy_dqs_i[0]),
+ .DATAOUT(a7ddrphy_dqs_i_delayed[0])
);
IOBUFDS IOBUFDS(
- .I(soc_a7ddrphy_dqs_o_no_delay0),
- .T(soc_a7ddrphy_dqs_t0),
+ .I(a7ddrphy_dqs_o_no_delay0),
+ .T(a7ddrphy_dqs_t0),
.IO(ddram_dqs_p[0]),
.IOB(ddram_dqs_n[0]),
- .O(soc_a7ddrphy_dqs_i[0])
+ .O(a7ddrphy_dqs_i[0])
);
OSERDESE2 #(
) OSERDESE2_28 (
.CLK(sys4x_dqs_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dqspattern_o1[0]),
- .D2(soc_a7ddrphy_dqspattern_o1[1]),
- .D3(soc_a7ddrphy_dqspattern_o1[2]),
- .D4(soc_a7ddrphy_dqspattern_o1[3]),
- .D5(soc_a7ddrphy_dqspattern_o1[4]),
- .D6(soc_a7ddrphy_dqspattern_o1[5]),
- .D7(soc_a7ddrphy_dqspattern_o1[6]),
- .D8(soc_a7ddrphy_dqspattern_o1[7]),
+ .D1(a7ddrphy_dqspattern_o1[0]),
+ .D2(a7ddrphy_dqspattern_o1[1]),
+ .D3(a7ddrphy_dqspattern_o1[2]),
+ .D4(a7ddrphy_dqspattern_o1[3]),
+ .D5(a7ddrphy_dqspattern_o1[4]),
+ .D6(a7ddrphy_dqspattern_o1[5]),
+ .D7(a7ddrphy_dqspattern_o1[6]),
+ .D8(a7ddrphy_dqspattern_o1[7]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dqs_oe_delayed)),
+ .T1((~a7ddrphy_dqs_oe_delayed)),
.TCE(1'd1),
- .OFB(soc_a7ddrphy1),
- .OQ(soc_a7ddrphy_dqs_o_no_delay1),
- .TQ(soc_a7ddrphy_dqs_t1)
+ .OFB(a7ddrphy1),
+ .OQ(a7ddrphy_dqs_o_no_delay1),
+ .TQ(a7ddrphy_dqs_t1)
);
IDELAYE2 #(
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_1 (
- .IDATAIN(soc_a7ddrphy_dqs_i[1]),
- .DATAOUT(soc_a7ddrphy_dqs_i_delayed[1])
+ .IDATAIN(a7ddrphy_dqs_i[1]),
+ .DATAOUT(a7ddrphy_dqs_i_delayed[1])
);
IOBUFDS IOBUFDS_1(
- .I(soc_a7ddrphy_dqs_o_no_delay1),
- .T(soc_a7ddrphy_dqs_t1),
+ .I(a7ddrphy_dqs_o_no_delay1),
+ .T(a7ddrphy_dqs_t1),
.IO(ddram_dqs_p[1]),
.IOB(ddram_dqs_n[1]),
- .O(soc_a7ddrphy_dqs_i[1])
+ .O(a7ddrphy_dqs_i[1])
);
OSERDESE2 #(
) OSERDESE2_29 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[0]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[16]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[0]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[16]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[0]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[16]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[0]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[16]),
+ .D1(a7ddrphy_dfi_p0_wrdata[0]),
+ .D2(a7ddrphy_dfi_p0_wrdata[16]),
+ .D3(a7ddrphy_dfi_p1_wrdata[0]),
+ .D4(a7ddrphy_dfi_p1_wrdata[16]),
+ .D5(a7ddrphy_dfi_p2_wrdata[0]),
+ .D6(a7ddrphy_dfi_p2_wrdata[16]),
+ .D7(a7ddrphy_dfi_p3_wrdata[0]),
+ .D8(a7ddrphy_dfi_p3_wrdata[16]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay0),
- .TQ(soc_a7ddrphy_dq_t0)
+ .OQ(a7ddrphy_dq_o_nodelay0),
+ .TQ(a7ddrphy_dq_t0)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed0),
+ .DDLY(a7ddrphy_dq_i_delayed0),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data0[7]),
- .Q2(soc_a7ddrphy_dq_i_data0[6]),
- .Q3(soc_a7ddrphy_dq_i_data0[5]),
- .Q4(soc_a7ddrphy_dq_i_data0[4]),
- .Q5(soc_a7ddrphy_dq_i_data0[3]),
- .Q6(soc_a7ddrphy_dq_i_data0[2]),
- .Q7(soc_a7ddrphy_dq_i_data0[1]),
- .Q8(soc_a7ddrphy_dq_i_data0[0])
+ .Q1(a7ddrphy_dq_i_data0[7]),
+ .Q2(a7ddrphy_dq_i_data0[6]),
+ .Q3(a7ddrphy_dq_i_data0[5]),
+ .Q4(a7ddrphy_dq_i_data0[4]),
+ .Q5(a7ddrphy_dq_i_data0[3]),
+ .Q6(a7ddrphy_dq_i_data0[2]),
+ .Q7(a7ddrphy_dq_i_data0[1]),
+ .Q8(a7ddrphy_dq_i_data0[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_2 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay0),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay0),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed0)
+ .DATAOUT(a7ddrphy_dq_i_delayed0)
);
IOBUF IOBUF(
- .I(soc_a7ddrphy_dq_o_nodelay0),
- .T(soc_a7ddrphy_dq_t0),
+ .I(a7ddrphy_dq_o_nodelay0),
+ .T(a7ddrphy_dq_t0),
.IO(ddram_dq[0]),
- .O(soc_a7ddrphy_dq_i_nodelay0)
+ .O(a7ddrphy_dq_i_nodelay0)
);
OSERDESE2 #(
) OSERDESE2_30 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[1]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[17]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[1]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[17]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[1]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[17]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[1]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[17]),
+ .D1(a7ddrphy_dfi_p0_wrdata[1]),
+ .D2(a7ddrphy_dfi_p0_wrdata[17]),
+ .D3(a7ddrphy_dfi_p1_wrdata[1]),
+ .D4(a7ddrphy_dfi_p1_wrdata[17]),
+ .D5(a7ddrphy_dfi_p2_wrdata[1]),
+ .D6(a7ddrphy_dfi_p2_wrdata[17]),
+ .D7(a7ddrphy_dfi_p3_wrdata[1]),
+ .D8(a7ddrphy_dfi_p3_wrdata[17]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay1),
- .TQ(soc_a7ddrphy_dq_t1)
+ .OQ(a7ddrphy_dq_o_nodelay1),
+ .TQ(a7ddrphy_dq_t1)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed1),
+ .DDLY(a7ddrphy_dq_i_delayed1),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data1[7]),
- .Q2(soc_a7ddrphy_dq_i_data1[6]),
- .Q3(soc_a7ddrphy_dq_i_data1[5]),
- .Q4(soc_a7ddrphy_dq_i_data1[4]),
- .Q5(soc_a7ddrphy_dq_i_data1[3]),
- .Q6(soc_a7ddrphy_dq_i_data1[2]),
- .Q7(soc_a7ddrphy_dq_i_data1[1]),
- .Q8(soc_a7ddrphy_dq_i_data1[0])
+ .Q1(a7ddrphy_dq_i_data1[7]),
+ .Q2(a7ddrphy_dq_i_data1[6]),
+ .Q3(a7ddrphy_dq_i_data1[5]),
+ .Q4(a7ddrphy_dq_i_data1[4]),
+ .Q5(a7ddrphy_dq_i_data1[3]),
+ .Q6(a7ddrphy_dq_i_data1[2]),
+ .Q7(a7ddrphy_dq_i_data1[1]),
+ .Q8(a7ddrphy_dq_i_data1[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_3 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay1),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay1),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed1)
+ .DATAOUT(a7ddrphy_dq_i_delayed1)
);
IOBUF IOBUF_1(
- .I(soc_a7ddrphy_dq_o_nodelay1),
- .T(soc_a7ddrphy_dq_t1),
+ .I(a7ddrphy_dq_o_nodelay1),
+ .T(a7ddrphy_dq_t1),
.IO(ddram_dq[1]),
- .O(soc_a7ddrphy_dq_i_nodelay1)
+ .O(a7ddrphy_dq_i_nodelay1)
);
OSERDESE2 #(
) OSERDESE2_31 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[2]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[18]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[2]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[18]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[2]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[18]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[2]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[18]),
+ .D1(a7ddrphy_dfi_p0_wrdata[2]),
+ .D2(a7ddrphy_dfi_p0_wrdata[18]),
+ .D3(a7ddrphy_dfi_p1_wrdata[2]),
+ .D4(a7ddrphy_dfi_p1_wrdata[18]),
+ .D5(a7ddrphy_dfi_p2_wrdata[2]),
+ .D6(a7ddrphy_dfi_p2_wrdata[18]),
+ .D7(a7ddrphy_dfi_p3_wrdata[2]),
+ .D8(a7ddrphy_dfi_p3_wrdata[18]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay2),
- .TQ(soc_a7ddrphy_dq_t2)
+ .OQ(a7ddrphy_dq_o_nodelay2),
+ .TQ(a7ddrphy_dq_t2)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed2),
+ .DDLY(a7ddrphy_dq_i_delayed2),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data2[7]),
- .Q2(soc_a7ddrphy_dq_i_data2[6]),
- .Q3(soc_a7ddrphy_dq_i_data2[5]),
- .Q4(soc_a7ddrphy_dq_i_data2[4]),
- .Q5(soc_a7ddrphy_dq_i_data2[3]),
- .Q6(soc_a7ddrphy_dq_i_data2[2]),
- .Q7(soc_a7ddrphy_dq_i_data2[1]),
- .Q8(soc_a7ddrphy_dq_i_data2[0])
+ .Q1(a7ddrphy_dq_i_data2[7]),
+ .Q2(a7ddrphy_dq_i_data2[6]),
+ .Q3(a7ddrphy_dq_i_data2[5]),
+ .Q4(a7ddrphy_dq_i_data2[4]),
+ .Q5(a7ddrphy_dq_i_data2[3]),
+ .Q6(a7ddrphy_dq_i_data2[2]),
+ .Q7(a7ddrphy_dq_i_data2[1]),
+ .Q8(a7ddrphy_dq_i_data2[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_4 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay2),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay2),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed2)
+ .DATAOUT(a7ddrphy_dq_i_delayed2)
);
IOBUF IOBUF_2(
- .I(soc_a7ddrphy_dq_o_nodelay2),
- .T(soc_a7ddrphy_dq_t2),
+ .I(a7ddrphy_dq_o_nodelay2),
+ .T(a7ddrphy_dq_t2),
.IO(ddram_dq[2]),
- .O(soc_a7ddrphy_dq_i_nodelay2)
+ .O(a7ddrphy_dq_i_nodelay2)
);
OSERDESE2 #(
) OSERDESE2_32 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[3]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[19]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[3]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[19]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[3]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[19]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[3]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[19]),
+ .D1(a7ddrphy_dfi_p0_wrdata[3]),
+ .D2(a7ddrphy_dfi_p0_wrdata[19]),
+ .D3(a7ddrphy_dfi_p1_wrdata[3]),
+ .D4(a7ddrphy_dfi_p1_wrdata[19]),
+ .D5(a7ddrphy_dfi_p2_wrdata[3]),
+ .D6(a7ddrphy_dfi_p2_wrdata[19]),
+ .D7(a7ddrphy_dfi_p3_wrdata[3]),
+ .D8(a7ddrphy_dfi_p3_wrdata[19]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay3),
- .TQ(soc_a7ddrphy_dq_t3)
+ .OQ(a7ddrphy_dq_o_nodelay3),
+ .TQ(a7ddrphy_dq_t3)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed3),
+ .DDLY(a7ddrphy_dq_i_delayed3),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data3[7]),
- .Q2(soc_a7ddrphy_dq_i_data3[6]),
- .Q3(soc_a7ddrphy_dq_i_data3[5]),
- .Q4(soc_a7ddrphy_dq_i_data3[4]),
- .Q5(soc_a7ddrphy_dq_i_data3[3]),
- .Q6(soc_a7ddrphy_dq_i_data3[2]),
- .Q7(soc_a7ddrphy_dq_i_data3[1]),
- .Q8(soc_a7ddrphy_dq_i_data3[0])
+ .Q1(a7ddrphy_dq_i_data3[7]),
+ .Q2(a7ddrphy_dq_i_data3[6]),
+ .Q3(a7ddrphy_dq_i_data3[5]),
+ .Q4(a7ddrphy_dq_i_data3[4]),
+ .Q5(a7ddrphy_dq_i_data3[3]),
+ .Q6(a7ddrphy_dq_i_data3[2]),
+ .Q7(a7ddrphy_dq_i_data3[1]),
+ .Q8(a7ddrphy_dq_i_data3[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_5 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay3),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay3),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed3)
+ .DATAOUT(a7ddrphy_dq_i_delayed3)
);
IOBUF IOBUF_3(
- .I(soc_a7ddrphy_dq_o_nodelay3),
- .T(soc_a7ddrphy_dq_t3),
+ .I(a7ddrphy_dq_o_nodelay3),
+ .T(a7ddrphy_dq_t3),
.IO(ddram_dq[3]),
- .O(soc_a7ddrphy_dq_i_nodelay3)
+ .O(a7ddrphy_dq_i_nodelay3)
);
OSERDESE2 #(
) OSERDESE2_33 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[4]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[20]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[4]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[20]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[4]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[20]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[4]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[20]),
+ .D1(a7ddrphy_dfi_p0_wrdata[4]),
+ .D2(a7ddrphy_dfi_p0_wrdata[20]),
+ .D3(a7ddrphy_dfi_p1_wrdata[4]),
+ .D4(a7ddrphy_dfi_p1_wrdata[20]),
+ .D5(a7ddrphy_dfi_p2_wrdata[4]),
+ .D6(a7ddrphy_dfi_p2_wrdata[20]),
+ .D7(a7ddrphy_dfi_p3_wrdata[4]),
+ .D8(a7ddrphy_dfi_p3_wrdata[20]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay4),
- .TQ(soc_a7ddrphy_dq_t4)
+ .OQ(a7ddrphy_dq_o_nodelay4),
+ .TQ(a7ddrphy_dq_t4)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed4),
+ .DDLY(a7ddrphy_dq_i_delayed4),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data4[7]),
- .Q2(soc_a7ddrphy_dq_i_data4[6]),
- .Q3(soc_a7ddrphy_dq_i_data4[5]),
- .Q4(soc_a7ddrphy_dq_i_data4[4]),
- .Q5(soc_a7ddrphy_dq_i_data4[3]),
- .Q6(soc_a7ddrphy_dq_i_data4[2]),
- .Q7(soc_a7ddrphy_dq_i_data4[1]),
- .Q8(soc_a7ddrphy_dq_i_data4[0])
+ .Q1(a7ddrphy_dq_i_data4[7]),
+ .Q2(a7ddrphy_dq_i_data4[6]),
+ .Q3(a7ddrphy_dq_i_data4[5]),
+ .Q4(a7ddrphy_dq_i_data4[4]),
+ .Q5(a7ddrphy_dq_i_data4[3]),
+ .Q6(a7ddrphy_dq_i_data4[2]),
+ .Q7(a7ddrphy_dq_i_data4[1]),
+ .Q8(a7ddrphy_dq_i_data4[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_6 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay4),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay4),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed4)
+ .DATAOUT(a7ddrphy_dq_i_delayed4)
);
IOBUF IOBUF_4(
- .I(soc_a7ddrphy_dq_o_nodelay4),
- .T(soc_a7ddrphy_dq_t4),
+ .I(a7ddrphy_dq_o_nodelay4),
+ .T(a7ddrphy_dq_t4),
.IO(ddram_dq[4]),
- .O(soc_a7ddrphy_dq_i_nodelay4)
+ .O(a7ddrphy_dq_i_nodelay4)
);
OSERDESE2 #(
) OSERDESE2_34 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[5]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[21]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[5]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[21]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[5]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[21]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[5]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[21]),
+ .D1(a7ddrphy_dfi_p0_wrdata[5]),
+ .D2(a7ddrphy_dfi_p0_wrdata[21]),
+ .D3(a7ddrphy_dfi_p1_wrdata[5]),
+ .D4(a7ddrphy_dfi_p1_wrdata[21]),
+ .D5(a7ddrphy_dfi_p2_wrdata[5]),
+ .D6(a7ddrphy_dfi_p2_wrdata[21]),
+ .D7(a7ddrphy_dfi_p3_wrdata[5]),
+ .D8(a7ddrphy_dfi_p3_wrdata[21]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay5),
- .TQ(soc_a7ddrphy_dq_t5)
+ .OQ(a7ddrphy_dq_o_nodelay5),
+ .TQ(a7ddrphy_dq_t5)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed5),
+ .DDLY(a7ddrphy_dq_i_delayed5),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data5[7]),
- .Q2(soc_a7ddrphy_dq_i_data5[6]),
- .Q3(soc_a7ddrphy_dq_i_data5[5]),
- .Q4(soc_a7ddrphy_dq_i_data5[4]),
- .Q5(soc_a7ddrphy_dq_i_data5[3]),
- .Q6(soc_a7ddrphy_dq_i_data5[2]),
- .Q7(soc_a7ddrphy_dq_i_data5[1]),
- .Q8(soc_a7ddrphy_dq_i_data5[0])
+ .Q1(a7ddrphy_dq_i_data5[7]),
+ .Q2(a7ddrphy_dq_i_data5[6]),
+ .Q3(a7ddrphy_dq_i_data5[5]),
+ .Q4(a7ddrphy_dq_i_data5[4]),
+ .Q5(a7ddrphy_dq_i_data5[3]),
+ .Q6(a7ddrphy_dq_i_data5[2]),
+ .Q7(a7ddrphy_dq_i_data5[1]),
+ .Q8(a7ddrphy_dq_i_data5[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_7 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay5),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay5),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed5)
+ .DATAOUT(a7ddrphy_dq_i_delayed5)
);
IOBUF IOBUF_5(
- .I(soc_a7ddrphy_dq_o_nodelay5),
- .T(soc_a7ddrphy_dq_t5),
+ .I(a7ddrphy_dq_o_nodelay5),
+ .T(a7ddrphy_dq_t5),
.IO(ddram_dq[5]),
- .O(soc_a7ddrphy_dq_i_nodelay5)
+ .O(a7ddrphy_dq_i_nodelay5)
);
OSERDESE2 #(
) OSERDESE2_35 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[6]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[22]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[6]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[22]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[6]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[22]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[6]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[22]),
+ .D1(a7ddrphy_dfi_p0_wrdata[6]),
+ .D2(a7ddrphy_dfi_p0_wrdata[22]),
+ .D3(a7ddrphy_dfi_p1_wrdata[6]),
+ .D4(a7ddrphy_dfi_p1_wrdata[22]),
+ .D5(a7ddrphy_dfi_p2_wrdata[6]),
+ .D6(a7ddrphy_dfi_p2_wrdata[22]),
+ .D7(a7ddrphy_dfi_p3_wrdata[6]),
+ .D8(a7ddrphy_dfi_p3_wrdata[22]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay6),
- .TQ(soc_a7ddrphy_dq_t6)
+ .OQ(a7ddrphy_dq_o_nodelay6),
+ .TQ(a7ddrphy_dq_t6)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed6),
+ .DDLY(a7ddrphy_dq_i_delayed6),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data6[7]),
- .Q2(soc_a7ddrphy_dq_i_data6[6]),
- .Q3(soc_a7ddrphy_dq_i_data6[5]),
- .Q4(soc_a7ddrphy_dq_i_data6[4]),
- .Q5(soc_a7ddrphy_dq_i_data6[3]),
- .Q6(soc_a7ddrphy_dq_i_data6[2]),
- .Q7(soc_a7ddrphy_dq_i_data6[1]),
- .Q8(soc_a7ddrphy_dq_i_data6[0])
+ .Q1(a7ddrphy_dq_i_data6[7]),
+ .Q2(a7ddrphy_dq_i_data6[6]),
+ .Q3(a7ddrphy_dq_i_data6[5]),
+ .Q4(a7ddrphy_dq_i_data6[4]),
+ .Q5(a7ddrphy_dq_i_data6[3]),
+ .Q6(a7ddrphy_dq_i_data6[2]),
+ .Q7(a7ddrphy_dq_i_data6[1]),
+ .Q8(a7ddrphy_dq_i_data6[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_8 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay6),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay6),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed6)
+ .DATAOUT(a7ddrphy_dq_i_delayed6)
);
IOBUF IOBUF_6(
- .I(soc_a7ddrphy_dq_o_nodelay6),
- .T(soc_a7ddrphy_dq_t6),
+ .I(a7ddrphy_dq_o_nodelay6),
+ .T(a7ddrphy_dq_t6),
.IO(ddram_dq[6]),
- .O(soc_a7ddrphy_dq_i_nodelay6)
+ .O(a7ddrphy_dq_i_nodelay6)
);
OSERDESE2 #(
) OSERDESE2_36 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[7]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[23]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[7]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[23]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[7]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[23]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[7]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[23]),
+ .D1(a7ddrphy_dfi_p0_wrdata[7]),
+ .D2(a7ddrphy_dfi_p0_wrdata[23]),
+ .D3(a7ddrphy_dfi_p1_wrdata[7]),
+ .D4(a7ddrphy_dfi_p1_wrdata[23]),
+ .D5(a7ddrphy_dfi_p2_wrdata[7]),
+ .D6(a7ddrphy_dfi_p2_wrdata[23]),
+ .D7(a7ddrphy_dfi_p3_wrdata[7]),
+ .D8(a7ddrphy_dfi_p3_wrdata[23]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay7),
- .TQ(soc_a7ddrphy_dq_t7)
+ .OQ(a7ddrphy_dq_o_nodelay7),
+ .TQ(a7ddrphy_dq_t7)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed7),
+ .DDLY(a7ddrphy_dq_i_delayed7),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data7[7]),
- .Q2(soc_a7ddrphy_dq_i_data7[6]),
- .Q3(soc_a7ddrphy_dq_i_data7[5]),
- .Q4(soc_a7ddrphy_dq_i_data7[4]),
- .Q5(soc_a7ddrphy_dq_i_data7[3]),
- .Q6(soc_a7ddrphy_dq_i_data7[2]),
- .Q7(soc_a7ddrphy_dq_i_data7[1]),
- .Q8(soc_a7ddrphy_dq_i_data7[0])
+ .Q1(a7ddrphy_dq_i_data7[7]),
+ .Q2(a7ddrphy_dq_i_data7[6]),
+ .Q3(a7ddrphy_dq_i_data7[5]),
+ .Q4(a7ddrphy_dq_i_data7[4]),
+ .Q5(a7ddrphy_dq_i_data7[3]),
+ .Q6(a7ddrphy_dq_i_data7[2]),
+ .Q7(a7ddrphy_dq_i_data7[1]),
+ .Q8(a7ddrphy_dq_i_data7[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_9 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay7),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay7),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed7)
+ .DATAOUT(a7ddrphy_dq_i_delayed7)
);
IOBUF IOBUF_7(
- .I(soc_a7ddrphy_dq_o_nodelay7),
- .T(soc_a7ddrphy_dq_t7),
+ .I(a7ddrphy_dq_o_nodelay7),
+ .T(a7ddrphy_dq_t7),
.IO(ddram_dq[7]),
- .O(soc_a7ddrphy_dq_i_nodelay7)
+ .O(a7ddrphy_dq_i_nodelay7)
);
OSERDESE2 #(
) OSERDESE2_37 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[8]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[24]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[8]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[24]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[8]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[24]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[8]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[24]),
+ .D1(a7ddrphy_dfi_p0_wrdata[8]),
+ .D2(a7ddrphy_dfi_p0_wrdata[24]),
+ .D3(a7ddrphy_dfi_p1_wrdata[8]),
+ .D4(a7ddrphy_dfi_p1_wrdata[24]),
+ .D5(a7ddrphy_dfi_p2_wrdata[8]),
+ .D6(a7ddrphy_dfi_p2_wrdata[24]),
+ .D7(a7ddrphy_dfi_p3_wrdata[8]),
+ .D8(a7ddrphy_dfi_p3_wrdata[24]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay8),
- .TQ(soc_a7ddrphy_dq_t8)
+ .OQ(a7ddrphy_dq_o_nodelay8),
+ .TQ(a7ddrphy_dq_t8)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed8),
+ .DDLY(a7ddrphy_dq_i_delayed8),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data8[7]),
- .Q2(soc_a7ddrphy_dq_i_data8[6]),
- .Q3(soc_a7ddrphy_dq_i_data8[5]),
- .Q4(soc_a7ddrphy_dq_i_data8[4]),
- .Q5(soc_a7ddrphy_dq_i_data8[3]),
- .Q6(soc_a7ddrphy_dq_i_data8[2]),
- .Q7(soc_a7ddrphy_dq_i_data8[1]),
- .Q8(soc_a7ddrphy_dq_i_data8[0])
+ .Q1(a7ddrphy_dq_i_data8[7]),
+ .Q2(a7ddrphy_dq_i_data8[6]),
+ .Q3(a7ddrphy_dq_i_data8[5]),
+ .Q4(a7ddrphy_dq_i_data8[4]),
+ .Q5(a7ddrphy_dq_i_data8[3]),
+ .Q6(a7ddrphy_dq_i_data8[2]),
+ .Q7(a7ddrphy_dq_i_data8[1]),
+ .Q8(a7ddrphy_dq_i_data8[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_10 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay8),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay8),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed8)
+ .DATAOUT(a7ddrphy_dq_i_delayed8)
);
IOBUF IOBUF_8(
- .I(soc_a7ddrphy_dq_o_nodelay8),
- .T(soc_a7ddrphy_dq_t8),
+ .I(a7ddrphy_dq_o_nodelay8),
+ .T(a7ddrphy_dq_t8),
.IO(ddram_dq[8]),
- .O(soc_a7ddrphy_dq_i_nodelay8)
+ .O(a7ddrphy_dq_i_nodelay8)
);
OSERDESE2 #(
) OSERDESE2_38 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[9]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[25]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[9]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[25]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[9]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[25]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[9]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[25]),
+ .D1(a7ddrphy_dfi_p0_wrdata[9]),
+ .D2(a7ddrphy_dfi_p0_wrdata[25]),
+ .D3(a7ddrphy_dfi_p1_wrdata[9]),
+ .D4(a7ddrphy_dfi_p1_wrdata[25]),
+ .D5(a7ddrphy_dfi_p2_wrdata[9]),
+ .D6(a7ddrphy_dfi_p2_wrdata[25]),
+ .D7(a7ddrphy_dfi_p3_wrdata[9]),
+ .D8(a7ddrphy_dfi_p3_wrdata[25]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay9),
- .TQ(soc_a7ddrphy_dq_t9)
+ .OQ(a7ddrphy_dq_o_nodelay9),
+ .TQ(a7ddrphy_dq_t9)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed9),
+ .DDLY(a7ddrphy_dq_i_delayed9),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data9[7]),
- .Q2(soc_a7ddrphy_dq_i_data9[6]),
- .Q3(soc_a7ddrphy_dq_i_data9[5]),
- .Q4(soc_a7ddrphy_dq_i_data9[4]),
- .Q5(soc_a7ddrphy_dq_i_data9[3]),
- .Q6(soc_a7ddrphy_dq_i_data9[2]),
- .Q7(soc_a7ddrphy_dq_i_data9[1]),
- .Q8(soc_a7ddrphy_dq_i_data9[0])
+ .Q1(a7ddrphy_dq_i_data9[7]),
+ .Q2(a7ddrphy_dq_i_data9[6]),
+ .Q3(a7ddrphy_dq_i_data9[5]),
+ .Q4(a7ddrphy_dq_i_data9[4]),
+ .Q5(a7ddrphy_dq_i_data9[3]),
+ .Q6(a7ddrphy_dq_i_data9[2]),
+ .Q7(a7ddrphy_dq_i_data9[1]),
+ .Q8(a7ddrphy_dq_i_data9[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_11 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay9),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay9),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed9)
+ .DATAOUT(a7ddrphy_dq_i_delayed9)
);
IOBUF IOBUF_9(
- .I(soc_a7ddrphy_dq_o_nodelay9),
- .T(soc_a7ddrphy_dq_t9),
+ .I(a7ddrphy_dq_o_nodelay9),
+ .T(a7ddrphy_dq_t9),
.IO(ddram_dq[9]),
- .O(soc_a7ddrphy_dq_i_nodelay9)
+ .O(a7ddrphy_dq_i_nodelay9)
);
OSERDESE2 #(
) OSERDESE2_39 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[10]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[26]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[10]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[26]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[10]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[26]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[10]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[26]),
+ .D1(a7ddrphy_dfi_p0_wrdata[10]),
+ .D2(a7ddrphy_dfi_p0_wrdata[26]),
+ .D3(a7ddrphy_dfi_p1_wrdata[10]),
+ .D4(a7ddrphy_dfi_p1_wrdata[26]),
+ .D5(a7ddrphy_dfi_p2_wrdata[10]),
+ .D6(a7ddrphy_dfi_p2_wrdata[26]),
+ .D7(a7ddrphy_dfi_p3_wrdata[10]),
+ .D8(a7ddrphy_dfi_p3_wrdata[26]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay10),
- .TQ(soc_a7ddrphy_dq_t10)
+ .OQ(a7ddrphy_dq_o_nodelay10),
+ .TQ(a7ddrphy_dq_t10)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed10),
+ .DDLY(a7ddrphy_dq_i_delayed10),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data10[7]),
- .Q2(soc_a7ddrphy_dq_i_data10[6]),
- .Q3(soc_a7ddrphy_dq_i_data10[5]),
- .Q4(soc_a7ddrphy_dq_i_data10[4]),
- .Q5(soc_a7ddrphy_dq_i_data10[3]),
- .Q6(soc_a7ddrphy_dq_i_data10[2]),
- .Q7(soc_a7ddrphy_dq_i_data10[1]),
- .Q8(soc_a7ddrphy_dq_i_data10[0])
+ .Q1(a7ddrphy_dq_i_data10[7]),
+ .Q2(a7ddrphy_dq_i_data10[6]),
+ .Q3(a7ddrphy_dq_i_data10[5]),
+ .Q4(a7ddrphy_dq_i_data10[4]),
+ .Q5(a7ddrphy_dq_i_data10[3]),
+ .Q6(a7ddrphy_dq_i_data10[2]),
+ .Q7(a7ddrphy_dq_i_data10[1]),
+ .Q8(a7ddrphy_dq_i_data10[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_12 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay10),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay10),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed10)
+ .DATAOUT(a7ddrphy_dq_i_delayed10)
);
IOBUF IOBUF_10(
- .I(soc_a7ddrphy_dq_o_nodelay10),
- .T(soc_a7ddrphy_dq_t10),
+ .I(a7ddrphy_dq_o_nodelay10),
+ .T(a7ddrphy_dq_t10),
.IO(ddram_dq[10]),
- .O(soc_a7ddrphy_dq_i_nodelay10)
+ .O(a7ddrphy_dq_i_nodelay10)
);
OSERDESE2 #(
) OSERDESE2_40 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[11]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[27]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[11]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[27]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[11]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[27]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[11]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[27]),
+ .D1(a7ddrphy_dfi_p0_wrdata[11]),
+ .D2(a7ddrphy_dfi_p0_wrdata[27]),
+ .D3(a7ddrphy_dfi_p1_wrdata[11]),
+ .D4(a7ddrphy_dfi_p1_wrdata[27]),
+ .D5(a7ddrphy_dfi_p2_wrdata[11]),
+ .D6(a7ddrphy_dfi_p2_wrdata[27]),
+ .D7(a7ddrphy_dfi_p3_wrdata[11]),
+ .D8(a7ddrphy_dfi_p3_wrdata[27]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay11),
- .TQ(soc_a7ddrphy_dq_t11)
+ .OQ(a7ddrphy_dq_o_nodelay11),
+ .TQ(a7ddrphy_dq_t11)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed11),
+ .DDLY(a7ddrphy_dq_i_delayed11),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data11[7]),
- .Q2(soc_a7ddrphy_dq_i_data11[6]),
- .Q3(soc_a7ddrphy_dq_i_data11[5]),
- .Q4(soc_a7ddrphy_dq_i_data11[4]),
- .Q5(soc_a7ddrphy_dq_i_data11[3]),
- .Q6(soc_a7ddrphy_dq_i_data11[2]),
- .Q7(soc_a7ddrphy_dq_i_data11[1]),
- .Q8(soc_a7ddrphy_dq_i_data11[0])
+ .Q1(a7ddrphy_dq_i_data11[7]),
+ .Q2(a7ddrphy_dq_i_data11[6]),
+ .Q3(a7ddrphy_dq_i_data11[5]),
+ .Q4(a7ddrphy_dq_i_data11[4]),
+ .Q5(a7ddrphy_dq_i_data11[3]),
+ .Q6(a7ddrphy_dq_i_data11[2]),
+ .Q7(a7ddrphy_dq_i_data11[1]),
+ .Q8(a7ddrphy_dq_i_data11[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_13 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay11),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay11),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed11)
+ .DATAOUT(a7ddrphy_dq_i_delayed11)
);
IOBUF IOBUF_11(
- .I(soc_a7ddrphy_dq_o_nodelay11),
- .T(soc_a7ddrphy_dq_t11),
+ .I(a7ddrphy_dq_o_nodelay11),
+ .T(a7ddrphy_dq_t11),
.IO(ddram_dq[11]),
- .O(soc_a7ddrphy_dq_i_nodelay11)
+ .O(a7ddrphy_dq_i_nodelay11)
);
OSERDESE2 #(
) OSERDESE2_41 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[12]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[28]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[12]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[28]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[12]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[28]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[12]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[28]),
+ .D1(a7ddrphy_dfi_p0_wrdata[12]),
+ .D2(a7ddrphy_dfi_p0_wrdata[28]),
+ .D3(a7ddrphy_dfi_p1_wrdata[12]),
+ .D4(a7ddrphy_dfi_p1_wrdata[28]),
+ .D5(a7ddrphy_dfi_p2_wrdata[12]),
+ .D6(a7ddrphy_dfi_p2_wrdata[28]),
+ .D7(a7ddrphy_dfi_p3_wrdata[12]),
+ .D8(a7ddrphy_dfi_p3_wrdata[28]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay12),
- .TQ(soc_a7ddrphy_dq_t12)
+ .OQ(a7ddrphy_dq_o_nodelay12),
+ .TQ(a7ddrphy_dq_t12)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed12),
+ .DDLY(a7ddrphy_dq_i_delayed12),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data12[7]),
- .Q2(soc_a7ddrphy_dq_i_data12[6]),
- .Q3(soc_a7ddrphy_dq_i_data12[5]),
- .Q4(soc_a7ddrphy_dq_i_data12[4]),
- .Q5(soc_a7ddrphy_dq_i_data12[3]),
- .Q6(soc_a7ddrphy_dq_i_data12[2]),
- .Q7(soc_a7ddrphy_dq_i_data12[1]),
- .Q8(soc_a7ddrphy_dq_i_data12[0])
+ .Q1(a7ddrphy_dq_i_data12[7]),
+ .Q2(a7ddrphy_dq_i_data12[6]),
+ .Q3(a7ddrphy_dq_i_data12[5]),
+ .Q4(a7ddrphy_dq_i_data12[4]),
+ .Q5(a7ddrphy_dq_i_data12[3]),
+ .Q6(a7ddrphy_dq_i_data12[2]),
+ .Q7(a7ddrphy_dq_i_data12[1]),
+ .Q8(a7ddrphy_dq_i_data12[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_14 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay12),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay12),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed12)
+ .DATAOUT(a7ddrphy_dq_i_delayed12)
);
IOBUF IOBUF_12(
- .I(soc_a7ddrphy_dq_o_nodelay12),
- .T(soc_a7ddrphy_dq_t12),
+ .I(a7ddrphy_dq_o_nodelay12),
+ .T(a7ddrphy_dq_t12),
.IO(ddram_dq[12]),
- .O(soc_a7ddrphy_dq_i_nodelay12)
+ .O(a7ddrphy_dq_i_nodelay12)
);
OSERDESE2 #(
) OSERDESE2_42 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[13]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[29]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[13]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[29]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[13]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[29]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[13]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[29]),
+ .D1(a7ddrphy_dfi_p0_wrdata[13]),
+ .D2(a7ddrphy_dfi_p0_wrdata[29]),
+ .D3(a7ddrphy_dfi_p1_wrdata[13]),
+ .D4(a7ddrphy_dfi_p1_wrdata[29]),
+ .D5(a7ddrphy_dfi_p2_wrdata[13]),
+ .D6(a7ddrphy_dfi_p2_wrdata[29]),
+ .D7(a7ddrphy_dfi_p3_wrdata[13]),
+ .D8(a7ddrphy_dfi_p3_wrdata[29]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay13),
- .TQ(soc_a7ddrphy_dq_t13)
+ .OQ(a7ddrphy_dq_o_nodelay13),
+ .TQ(a7ddrphy_dq_t13)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed13),
+ .DDLY(a7ddrphy_dq_i_delayed13),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data13[7]),
- .Q2(soc_a7ddrphy_dq_i_data13[6]),
- .Q3(soc_a7ddrphy_dq_i_data13[5]),
- .Q4(soc_a7ddrphy_dq_i_data13[4]),
- .Q5(soc_a7ddrphy_dq_i_data13[3]),
- .Q6(soc_a7ddrphy_dq_i_data13[2]),
- .Q7(soc_a7ddrphy_dq_i_data13[1]),
- .Q8(soc_a7ddrphy_dq_i_data13[0])
+ .Q1(a7ddrphy_dq_i_data13[7]),
+ .Q2(a7ddrphy_dq_i_data13[6]),
+ .Q3(a7ddrphy_dq_i_data13[5]),
+ .Q4(a7ddrphy_dq_i_data13[4]),
+ .Q5(a7ddrphy_dq_i_data13[3]),
+ .Q6(a7ddrphy_dq_i_data13[2]),
+ .Q7(a7ddrphy_dq_i_data13[1]),
+ .Q8(a7ddrphy_dq_i_data13[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_15 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay13),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay13),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed13)
+ .DATAOUT(a7ddrphy_dq_i_delayed13)
);
IOBUF IOBUF_13(
- .I(soc_a7ddrphy_dq_o_nodelay13),
- .T(soc_a7ddrphy_dq_t13),
+ .I(a7ddrphy_dq_o_nodelay13),
+ .T(a7ddrphy_dq_t13),
.IO(ddram_dq[13]),
- .O(soc_a7ddrphy_dq_i_nodelay13)
+ .O(a7ddrphy_dq_i_nodelay13)
);
OSERDESE2 #(
) OSERDESE2_43 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[14]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[30]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[14]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[30]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[14]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[30]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[14]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[30]),
+ .D1(a7ddrphy_dfi_p0_wrdata[14]),
+ .D2(a7ddrphy_dfi_p0_wrdata[30]),
+ .D3(a7ddrphy_dfi_p1_wrdata[14]),
+ .D4(a7ddrphy_dfi_p1_wrdata[30]),
+ .D5(a7ddrphy_dfi_p2_wrdata[14]),
+ .D6(a7ddrphy_dfi_p2_wrdata[30]),
+ .D7(a7ddrphy_dfi_p3_wrdata[14]),
+ .D8(a7ddrphy_dfi_p3_wrdata[30]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay14),
- .TQ(soc_a7ddrphy_dq_t14)
+ .OQ(a7ddrphy_dq_o_nodelay14),
+ .TQ(a7ddrphy_dq_t14)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed14),
+ .DDLY(a7ddrphy_dq_i_delayed14),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data14[7]),
- .Q2(soc_a7ddrphy_dq_i_data14[6]),
- .Q3(soc_a7ddrphy_dq_i_data14[5]),
- .Q4(soc_a7ddrphy_dq_i_data14[4]),
- .Q5(soc_a7ddrphy_dq_i_data14[3]),
- .Q6(soc_a7ddrphy_dq_i_data14[2]),
- .Q7(soc_a7ddrphy_dq_i_data14[1]),
- .Q8(soc_a7ddrphy_dq_i_data14[0])
+ .Q1(a7ddrphy_dq_i_data14[7]),
+ .Q2(a7ddrphy_dq_i_data14[6]),
+ .Q3(a7ddrphy_dq_i_data14[5]),
+ .Q4(a7ddrphy_dq_i_data14[4]),
+ .Q5(a7ddrphy_dq_i_data14[3]),
+ .Q6(a7ddrphy_dq_i_data14[2]),
+ .Q7(a7ddrphy_dq_i_data14[1]),
+ .Q8(a7ddrphy_dq_i_data14[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_16 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay14),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay14),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed14)
+ .DATAOUT(a7ddrphy_dq_i_delayed14)
);
IOBUF IOBUF_14(
- .I(soc_a7ddrphy_dq_o_nodelay14),
- .T(soc_a7ddrphy_dq_t14),
+ .I(a7ddrphy_dq_o_nodelay14),
+ .T(a7ddrphy_dq_t14),
.IO(ddram_dq[14]),
- .O(soc_a7ddrphy_dq_i_nodelay14)
+ .O(a7ddrphy_dq_i_nodelay14)
);
OSERDESE2 #(
) OSERDESE2_44 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[15]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[31]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[15]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[31]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[15]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[31]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[15]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[31]),
+ .D1(a7ddrphy_dfi_p0_wrdata[15]),
+ .D2(a7ddrphy_dfi_p0_wrdata[31]),
+ .D3(a7ddrphy_dfi_p1_wrdata[15]),
+ .D4(a7ddrphy_dfi_p1_wrdata[31]),
+ .D5(a7ddrphy_dfi_p2_wrdata[15]),
+ .D6(a7ddrphy_dfi_p2_wrdata[31]),
+ .D7(a7ddrphy_dfi_p3_wrdata[15]),
+ .D8(a7ddrphy_dfi_p3_wrdata[31]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay15),
- .TQ(soc_a7ddrphy_dq_t15)
+ .OQ(a7ddrphy_dq_o_nodelay15),
+ .TQ(a7ddrphy_dq_t15)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed15),
+ .DDLY(a7ddrphy_dq_i_delayed15),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data15[7]),
- .Q2(soc_a7ddrphy_dq_i_data15[6]),
- .Q3(soc_a7ddrphy_dq_i_data15[5]),
- .Q4(soc_a7ddrphy_dq_i_data15[4]),
- .Q5(soc_a7ddrphy_dq_i_data15[3]),
- .Q6(soc_a7ddrphy_dq_i_data15[2]),
- .Q7(soc_a7ddrphy_dq_i_data15[1]),
- .Q8(soc_a7ddrphy_dq_i_data15[0])
+ .Q1(a7ddrphy_dq_i_data15[7]),
+ .Q2(a7ddrphy_dq_i_data15[6]),
+ .Q3(a7ddrphy_dq_i_data15[5]),
+ .Q4(a7ddrphy_dq_i_data15[4]),
+ .Q5(a7ddrphy_dq_i_data15[3]),
+ .Q6(a7ddrphy_dq_i_data15[2]),
+ .Q7(a7ddrphy_dq_i_data15[1]),
+ .Q8(a7ddrphy_dq_i_data15[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_17 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay15),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay15),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed15)
+ .DATAOUT(a7ddrphy_dq_i_delayed15)
);
IOBUF IOBUF_15(
- .I(soc_a7ddrphy_dq_o_nodelay15),
- .T(soc_a7ddrphy_dq_t15),
+ .I(a7ddrphy_dq_o_nodelay15),
+ .T(a7ddrphy_dq_t15),
.IO(ddram_dq[15]),
- .O(soc_a7ddrphy_dq_i_nodelay15)
+ .O(a7ddrphy_dq_i_nodelay15)
);
-reg [23:0] storage_2[0:15];
-reg [23:0] memdat_5;
+reg [23:0] storage[0:15];
+reg [23:0] memdat;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_we)
- storage_2[soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w;
- memdat_5 <= storage_2[soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we)
+ storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w;
+ memdat <= storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = memdat_5;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage_2[soc_sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = memdat;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage[litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr];
-reg [23:0] storage_3[0:15];
-reg [23:0] memdat_6;
+reg [23:0] storage_1[0:15];
+reg [23:0] memdat_1;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_we)
- storage_3[soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w;
- memdat_6 <= storage_3[soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we)
+ storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_1 <= storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = memdat_6;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_3[soc_sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = memdat_1;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr];
-reg [23:0] storage_4[0:15];
-reg [23:0] memdat_7;
+reg [23:0] storage_2[0:15];
+reg [23:0] memdat_2;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_we)
- storage_4[soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w;
- memdat_7 <= storage_4[soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we)
+ storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_2 <= storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = memdat_7;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_4[soc_sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = memdat_2;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr];
-reg [23:0] storage_5[0:15];
-reg [23:0] memdat_8;
+reg [23:0] storage_3[0:15];
+reg [23:0] memdat_3;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_we)
- storage_5[soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w;
- memdat_8 <= storage_5[soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we)
+ storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_3 <= storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = memdat_8;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_5[soc_sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = memdat_3;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr];
-reg [23:0] storage_6[0:15];
-reg [23:0] memdat_9;
+reg [23:0] storage_4[0:15];
+reg [23:0] memdat_4;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_we)
- storage_6[soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w;
- memdat_9 <= storage_6[soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we)
+ storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_4 <= storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = memdat_9;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_6[soc_sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = memdat_4;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr];
-reg [23:0] storage_7[0:15];
-reg [23:0] memdat_10;
+reg [23:0] storage_5[0:15];
+reg [23:0] memdat_5;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_we)
- storage_7[soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w;
- memdat_10 <= storage_7[soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we)
+ storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_5 <= storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = memdat_10;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_7[soc_sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = memdat_5;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr];
-reg [23:0] storage_8[0:15];
-reg [23:0] memdat_11;
+reg [23:0] storage_6[0:15];
+reg [23:0] memdat_6;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_we)
- storage_8[soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w;
- memdat_11 <= storage_8[soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we)
+ storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_6 <= storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = memdat_11;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_8[soc_sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = memdat_6;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr];
-reg [23:0] storage_9[0:15];
-reg [23:0] memdat_12;
+reg [23:0] storage_7[0:15];
+reg [23:0] memdat_7;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_we)
- storage_9[soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w;
- memdat_12 <= storage_9[soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we)
+ storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_7 <= storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = memdat_12;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_9[soc_sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr];
-
-VexRiscv VexRiscv(
- .clk(sys_clk),
- .dBusWishbone_ACK(soc_litedramcore_cpu_dbus_ack),
- .dBusWishbone_DAT_MISO(soc_litedramcore_cpu_dbus_dat_r),
- .dBusWishbone_ERR(soc_litedramcore_cpu_dbus_err),
- .externalInterruptArray(soc_litedramcore_cpu_interrupt),
- .externalResetVector(soc_litedramcore_vexriscv),
- .iBusWishbone_ACK(soc_litedramcore_cpu_ibus_ack),
- .iBusWishbone_DAT_MISO(soc_litedramcore_cpu_ibus_dat_r),
- .iBusWishbone_ERR(soc_litedramcore_cpu_ibus_err),
- .reset((sys_rst | soc_litedramcore_cpu_reset)),
- .softwareInterrupt(1'd0),
- .timerInterrupt(1'd0),
- .dBusWishbone_ADR(soc_litedramcore_cpu_dbus_adr),
- .dBusWishbone_BTE(soc_litedramcore_cpu_dbus_bte),
- .dBusWishbone_CTI(soc_litedramcore_cpu_dbus_cti),
- .dBusWishbone_CYC(soc_litedramcore_cpu_dbus_cyc),
- .dBusWishbone_DAT_MOSI(soc_litedramcore_cpu_dbus_dat_w),
- .dBusWishbone_SEL(soc_litedramcore_cpu_dbus_sel),
- .dBusWishbone_STB(soc_litedramcore_cpu_dbus_stb),
- .dBusWishbone_WE(soc_litedramcore_cpu_dbus_we),
- .iBusWishbone_ADR(soc_litedramcore_cpu_ibus_adr),
- .iBusWishbone_BTE(soc_litedramcore_cpu_ibus_bte),
- .iBusWishbone_CTI(soc_litedramcore_cpu_ibus_cti),
- .iBusWishbone_CYC(soc_litedramcore_cpu_ibus_cyc),
- .iBusWishbone_DAT_MOSI(soc_litedramcore_cpu_ibus_dat_w),
- .iBusWishbone_SEL(soc_litedramcore_cpu_ibus_sel),
- .iBusWishbone_STB(soc_litedramcore_cpu_ibus_stb),
- .iBusWishbone_WE(soc_litedramcore_cpu_ibus_we)
-);
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = memdat_7;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr];
PLLE2_ADV #(
.CLKFBOUT_MULT(5'd16),
.REF_JITTER1(0.01),
.STARTUP_WAIT("FALSE")
) PLLE2_ADV (
- .CLKFBIN(vns_pll_fb0),
- .CLKIN1(soc_s7pll0_clkin),
- .RST(soc_sys_pll_reset),
- .CLKFBOUT(vns_pll_fb0),
- .CLKOUT0(soc_s7pll0_clkout0),
- .CLKOUT1(soc_s7pll0_clkout1),
- .CLKOUT2(soc_s7pll0_clkout2),
- .LOCKED(soc_sys_pll_locked)
+ .CLKFBIN(pll_fb0),
+ .CLKIN1(s7pll0_clkin),
+ .RST(sys_pll_reset),
+ .CLKFBOUT(pll_fb0),
+ .CLKOUT0(s7pll0_clkout0),
+ .CLKOUT1(s7pll0_clkout1),
+ .CLKOUT2(s7pll0_clkout2),
+ .LOCKED(sys_pll_locked)
);
PLLE2_ADV #(
.REF_JITTER1(0.01),
.STARTUP_WAIT("FALSE")
) PLLE2_ADV_1 (
- .CLKFBIN(vns_pll_fb1),
- .CLKIN1(soc_s7pll1_clkin),
- .RST(soc_iodelay_pll_reset),
- .CLKFBOUT(vns_pll_fb1),
- .CLKOUT0(soc_s7pll1_clkout),
- .LOCKED(soc_iodelay_pll_locked)
+ .CLKFBIN(pll_fb1),
+ .CLKIN1(s7pll1_clkin),
+ .RST(iodelay_pll_reset),
+ .CLKFBOUT(pll_fb1),
+ .CLKOUT0(s7pll1_clkout),
+ .LOCKED(iodelay_pll_locked)
);
(* ars_ff1 = "true", async_reg = "true" *) FDPE #(
.C(sys_clk),
.CE(1'd1),
.D(1'd0),
- .PRE(vns_xilinxasyncresetsynchronizerimpl0),
- .Q(vns_xilinxasyncresetsynchronizerimpl0_rst_meta)
+ .PRE(xilinxasyncresetsynchronizerimpl0),
+ .Q(xilinxasyncresetsynchronizerimpl0_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
) FDPE_1 (
.C(sys_clk),
.CE(1'd1),
- .D(vns_xilinxasyncresetsynchronizerimpl0_rst_meta),
- .PRE(vns_xilinxasyncresetsynchronizerimpl0),
+ .D(xilinxasyncresetsynchronizerimpl0_rst_meta),
+ .PRE(xilinxasyncresetsynchronizerimpl0),
.Q(sys_rst)
);
.C(sys4x_clk),
.CE(1'd1),
.D(1'd0),
- .PRE(vns_xilinxasyncresetsynchronizerimpl1),
- .Q(vns_xilinxasyncresetsynchronizerimpl1_rst_meta)
+ .PRE(xilinxasyncresetsynchronizerimpl1),
+ .Q(xilinxasyncresetsynchronizerimpl1_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
) FDPE_3 (
.C(sys4x_clk),
.CE(1'd1),
- .D(vns_xilinxasyncresetsynchronizerimpl1_rst_meta),
- .PRE(vns_xilinxasyncresetsynchronizerimpl1),
- .Q(vns_xilinxasyncresetsynchronizerimpl1_expr)
+ .D(xilinxasyncresetsynchronizerimpl1_rst_meta),
+ .PRE(xilinxasyncresetsynchronizerimpl1),
+ .Q(xilinxasyncresetsynchronizerimpl1_expr)
);
(* ars_ff1 = "true", async_reg = "true" *) FDPE #(
.C(sys4x_dqs_clk),
.CE(1'd1),
.D(1'd0),
- .PRE(vns_xilinxasyncresetsynchronizerimpl2),
- .Q(vns_xilinxasyncresetsynchronizerimpl2_rst_meta)
+ .PRE(xilinxasyncresetsynchronizerimpl2),
+ .Q(xilinxasyncresetsynchronizerimpl2_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
) FDPE_5 (
.C(sys4x_dqs_clk),
.CE(1'd1),
- .D(vns_xilinxasyncresetsynchronizerimpl2_rst_meta),
- .PRE(vns_xilinxasyncresetsynchronizerimpl2),
- .Q(vns_xilinxasyncresetsynchronizerimpl2_expr)
+ .D(xilinxasyncresetsynchronizerimpl2_rst_meta),
+ .PRE(xilinxasyncresetsynchronizerimpl2),
+ .Q(xilinxasyncresetsynchronizerimpl2_expr)
);
(* ars_ff1 = "true", async_reg = "true" *) FDPE #(
.C(iodelay_clk),
.CE(1'd1),
.D(1'd0),
- .PRE(vns_xilinxasyncresetsynchronizerimpl3),
- .Q(vns_xilinxasyncresetsynchronizerimpl3_rst_meta)
+ .PRE(xilinxasyncresetsynchronizerimpl3),
+ .Q(xilinxasyncresetsynchronizerimpl3_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
) FDPE_7 (
.C(iodelay_clk),
.CE(1'd1),
- .D(vns_xilinxasyncresetsynchronizerimpl3_rst_meta),
- .PRE(vns_xilinxasyncresetsynchronizerimpl3),
+ .D(xilinxasyncresetsynchronizerimpl3_rst_meta),
+ .PRE(xilinxasyncresetsynchronizerimpl3),
.Q(iodelay_rst)
);
+++ /dev/null
-vexriscv
\ No newline at end of file
component litedram_core port (
clk : in std_ulogic;
rst : in std_ulogic;
- serial_tx : out std_ulogic;
- serial_rx : in std_ulogic;
pll_locked : out std_ulogic;
ddram_a : out std_ulogic_vector(DRAM_ALINES-1 downto 0);
ddram_ba : out std_ulogic_vector(2 downto 0);
init_error : out std_ulogic;
user_clk : out std_ulogic;
user_rst : out std_ulogic;
+ csr_port0_adr : in std_ulogic_vector(13 downto 0);
+ csr_port0_we : in std_ulogic;
+ csr_port0_dat_w : in std_ulogic_vector(7 downto 0);
+ csr_port0_dat_r : out std_ulogic_vector(7 downto 0);
user_port_native_0_cmd_valid : in std_ulogic;
user_port_native_0_cmd_ready : out std_ulogic;
user_port_native_0_cmd_we : in std_ulogic;
signal dram_user_reset : std_ulogic;
- type state_t is (CMD, MWRITE, MREAD);
+ signal csr_port0_adr : std_ulogic_vector(13 downto 0);
+ signal csr_port0_we : std_ulogic;
+ signal csr_port0_dat_w : std_ulogic_vector(7 downto 0);
+ signal csr_port0_dat_r : std_ulogic_vector(7 downto 0);
+ signal csr_port_read_comb : std_ulogic_vector(63 downto 0);
+ signal csr_valid : std_ulogic;
+ signal csr_write_valid : std_ulogic;
+
+ signal wb_init_in : wishbone_master_out;
+ signal wb_init_out : wishbone_slave_out;
+
+ type state_t is (CMD, MWRITE, MREAD, CSR);
signal state : state_t;
+ constant INIT_RAM_SIZE : integer := 16384;
+ constant INIT_RAM_ABITS :integer := 14;
+ constant INIT_RAM_FILE : string := "litedram_core.init";
+
+ type ram_t is array(0 to (INIT_RAM_SIZE / 8) - 1) of std_logic_vector(63 downto 0);
+
+ impure function init_load_ram(name : string) return ram_t is
+ file ram_file : text open read_mode is name;
+ variable temp_word : std_logic_vector(63 downto 0);
+ variable temp_ram : ram_t := (others => (others => '0'));
+ variable ram_line : line;
+ begin
+ for i in 0 to (INIT_RAM_SIZE/8)-1 loop
+ exit when endfile(ram_file);
+ readline(ram_file, ram_line);
+ hread(ram_line, temp_word);
+ temp_ram(i) := temp_word;
+ end loop;
+ return temp_ram;
+ end function;
+
+ signal init_ram : ram_t := init_load_ram(INIT_RAM_FILE);
+
+ attribute ram_style : string;
+ attribute ram_style of init_ram: signal is "block";
+
begin
- -- Address bit 3 selects the top or bottom half of the data
+ -- BRAM Memory slave
+ init_ram_0: process(system_clk)
+ variable adr : integer;
+ begin
+ if rising_edge(system_clk) then
+ wb_init_out.ack <= '0';
+ if (wb_init_in.cyc and wb_init_in.stb) = '1' then
+ adr := to_integer((unsigned(wb_init_in.adr(INIT_RAM_ABITS-1 downto 3))));
+ if wb_init_in.we = '0' then
+ wb_init_out.dat <= init_ram(adr);
+ else
+ for i in 0 to 7 loop
+ if wb_init_in.sel(i) = '1' then
+ init_ram(adr)(((i + 1) * 8) - 1 downto i * 8) <=
+ wb_init_in.dat(((i + 1) * 8) - 1 downto i * 8);
+ end if;
+ end loop;
+ end if;
+ wb_init_out.ack <= not wb_init_out.ack;
+ end if;
+ end if;
+ end process;
+
+ wb_init_in.adr <= wb_in.adr;
+ wb_init_in.dat <= wb_in.dat;
+ wb_init_in.sel <= wb_in.sel;
+ wb_init_in.we <= wb_in.we;
+ wb_init_in.stb <= wb_in.stb;
+ wb_init_in.cyc <= wb_in.cyc and wb_is_init;
+
+ -- Address bit 3 selects the top or bottom half of the data
-- bus (64-bit wishbone vs. 128-bit DRAM interface)
--
ad3 <= wb_in.adr(3);
- -- DRAM interface signals
+ -- DRAM data interface signals
user_port0_cmd_valid <= (wb_in.cyc and wb_in.stb and not wb_is_csr and not wb_is_init)
when state = CMD else '0';
user_port0_cmd_we <= wb_in.we when state = CMD else '0';
user_port0_wdata_we <= wb_in.sel & "00000000" when ad3 = '1' else
"00000000" & wb_in.sel;
- -- Wishbone out signals. CSR and init memory do nothing, just ack
- wb_out.ack <= '1' when (wb_is_csr = '1' or wb_is_init = '1') else
+ -- DRAM CSR interface signals. We only support access to the bottom byte
+ csr_valid <= wb_in.cyc and wb_in.stb and wb_is_csr;
+ csr_write_valid <= wb_in.we and wb_in.sel(0);
+ csr_port0_adr <= wb_in.adr(13 downto 0) when wb_is_csr = '1' else (others => '0');
+ csr_port0_dat_w <= wb_in.dat(7 downto 0);
+ csr_port0_we <= (csr_valid and csr_write_valid) when state = CMD else '0';
+
+ -- Wishbone out signals
+ wb_out.ack <= '1' when state = CSR else
+ wb_init_out.ack when wb_is_init = '1' else
user_port0_wdata_ready when state = MWRITE else
user_port0_rdata_valid when state = MREAD else '0';
- wb_out.dat <= (others => '0') when (wb_is_csr = '1' or wb_is_init = '1') else
+
+ csr_port_read_comb <= x"00000000000000" & csr_port0_dat_r;
+ wb_out.dat <= csr_port_read_comb when wb_is_csr = '1' else
+ wb_init_out.dat when wb_is_init = '1' else
user_port0_rdata_data(127 downto 64) when ad3 = '1' else
user_port0_rdata_data(63 downto 0);
+ -- We don't do pipelining yet.
wb_out.stall <= '0' when wb_in.cyc = '0' else not wb_out.ack;
- -- Reset, lift it when init done, no alt core reset
- system_reset <= dram_user_reset or not init_done;
- core_alt_reset <= '0';
+ -- Reset ignored, the reset controller use the pll lock signal,
+ -- and alternate core reset address set when DRAM is not initialized.
+ --
+ system_reset <= '0';
+ core_alt_reset <= not init_done;
-- State machine
sm: process(system_clk)
else
case state is
when CMD =>
- if (user_port0_cmd_ready and user_port0_cmd_valid) = '1' then
+ if csr_valid = '1' then
+ state <= CSR;
+ elsif (user_port0_cmd_ready and user_port0_cmd_valid) = '1' then
state <= MWRITE when wb_in.we = '1' else MREAD;
end if;
when MWRITE =>
if user_port0_rdata_valid = '1' then
state <= CMD;
end if;
+ when CSR =>
+ state <= CMD;
end case;
end if;
end if;
port map(
clk => clk_in,
rst => rst,
- serial_tx => serial_tx,
- serial_rx => serial_rx,
pll_locked => pll_locked,
ddram_a => ddram_a,
ddram_ba => ddram_ba,
init_error => init_error,
user_clk => system_clk,
user_rst => dram_user_reset,
+ csr_port0_adr => csr_port0_adr,
+ csr_port0_we => csr_port0_we,
+ csr_port0_dat_w => csr_port0_dat_w,
+ csr_port0_dat_r => csr_port0_dat_r,
user_port_native_0_cmd_valid => user_port0_cmd_valid,
user_port_native_0_cmd_ready => user_port0_cmd_ready,
user_port_native_0_cmd_we => user_port0_cmd_we,
-b00006f
-13
-13
-13
-13
-13
-13
-13
-fe112e23
-fe512c23
-fe612a23
-fe712823
-fea12623
-feb12423
-fec12223
-fed12023
-fce12e23
-fcf12c23
-fd012a23
-fd112823
-fdc12623
-fdd12423
-fde12223
-fdf12023
-fc010113
-94000ef
-3c12083
-3812283
-3412303
-3012383
-2c12503
-2812583
-2412603
-2012683
-1c12703
-1812783
-1412803
-1012883
-c12e03
-812e83
-412f03
-12f83
-4010113
-30200073
-1001117
-f4c10113
-517
-f6850513
-30551073
-1000517
-f3c50513
-1000597
-5bc58593
-b50863
-52023
-450513
-ff5ff06f
-1537
-88050513
-30451073
-6c010ef
-6f
-fc002773
-bc0027f3
-e7f7b3
-17f793
-78463
-1d10306f
-8067
-82002737
-2872783
-2c72503
-879793
-a7e7b3
-3072503
-879793
-a7e7b3
-3472503
-879793
-a7e533
-8067
-cc0027f3
-c79693
-147d713
-c6d693
-793
-d7e463
-8067
-78513
-7005500f
-e787b3
-fedff06f
-13
-fff50513
-fe051ce3
-8067
-100713
-820037b7
-a71533
-80a7aa23
-80e7ac23
-8007aa23
-8067
-100713
-820037b7
-a71533
-80a7aa23
-80e7ae23
-8007aa23
-8067
-793
-400693
-f58633
-64603
-279713
-a70733
-c72023
-178793
-fed794e3
-8067
-793
-400693
-279713
-a70733
-72603
-f58733
-178793
-c70023
-fed794e3
-8067
-f9010113
-6912223
-50493
-4537
-45850513
-5512a23
-6112623
-6812423
-7212023
-5312e23
-5412c23
-5612823
-5712623
-5812423
-5912223
-5a12023
-3b12e23
-7a4030ef
-2010713
-80200637
-3010593
-2a00793
-70a93
-360613
-400513
-693
-17d813
-17f793
-40f007b3
-c7f7b3
-107c7b3
-d70833
-f80023
-168693
-fea690e3
-470713
-fcb71ae3
-82003437
-42623
-42823
-900793
-42a23
-f42223
-100913
-1242423
-f00513
-eb1ff0ef
-a8593
-1840513
-eedff0ef
-2410593
-4c40513
-ee1ff0ef
-2810593
-8040513
-ed5ff0ef
-2c10593
-b440513
-ec9ff0ef
-a042423
-a042623
-a042823
-1700793
-af42023
-b242223
-6042a23
-6042c23
-6042e23
-48513
-e65ff0ef
-3010793
-100d13
-409d0b33
-4a37
-40978bb3
-913
-438a0a13
-1678c33
-3b8b93
-820037b7
-2500713
-6e7a623
-7a7a823
-f00513
-e19ff0ef
-16a89b3
-413
-100c93
-8a07b3
-7a503
-1c10593
-e6dff0ef
-9c703
-fecc4783
-f71863
-29c703
-fecbc783
-f70463
-c93
-440413
-1000793
-498993
-fcf414e3
-190413
-20c9063
-2000793
-f40a63
-48513
-de5ff0ef
-40913
-f85ff06f
-2000913
-48513
-dd1ff0ef
-3010793
-82003cb7
-40978bb3
-190413
-70c8d13
-100d93
-3b8b93
-2500793
-6fca623
-1bd2023
-f00513
-d75ff0ef
-993
-100793
-13a0733
-72503
-1c10593
-f12623
-dc9ff0ef
-13b0733
-ea8733
-74603
-fecc4703
-c12783
-1000693
-e61c63
-40998733
-ea8733
-374603
-fecbc703
-e60463
-793
-498993
-fad99ae3
-78e63
-140413
-1f00793
-87c863
-48513
-d31ff0ef
-f7dff06f
-8909b3
-2000793
-4019d993
-8f91263
-4537
-46450513
-564030ef
-48513
-cedff0ef
-413
-9344663
-820037b7
-7a623
-7a823
-7aa23
-b00713
-e7a223
-100713
-e7a423
-f00513
-cadff0ef
-6c12083
-6812403
-6412483
-6012903
-5c12983
-5812a03
-5412a83
-5012b03
-4c12b83
-4812c03
-4412c83
-4012d03
-3c12d83
-7010113
-8067
-41240433
-1f45613
-860633
-4537
-40165613
-98593
-46850513
-4d0030ef
-f6dff06f
-48513
-c71ff0ef
-140413
-f69ff06f
-820037b7
-e00713
-4537
-e7a023
-47450513
-4a40306f
-820037b7
-100713
-4537
-e7a023
-49850513
-48c0306f
-2051663
-820037b7
-7a623
-7a823
-7aa23
-b00713
-e7a223
-100713
-e7a423
-f00513
-be1ff06f
-1051713
-1075713
-820037b7
-875713
-1051513
-e7a623
-1055513
-a7a823
-7aa23
-900713
-fc5ff06f
-fd010113
-2112623
-2812423
-2912223
-3212023
-1312e23
-1412c23
-1512a23
-1612823
-8054663
-100493
-40a484b3
-200993
-4437
-43840413
-1040a13
-4ab7
-300b13
-42503
-c10593
-48913
-bcdff0ef
-c10793
-12787b3
-7c583
-4bca8513
-1390933
-3c4030ef
-ff2b54e3
-440413
-fc8a18e3
-5537
-96c50513
-3ac030ef
-2c12083
-2812403
-2412483
-2012903
-1c12983
-1812a03
-1412a83
-1012b03
-3010113
-8067
-100993
-493
-f7dff06f
-1051713
-fe010113
-1075713
-112e23
-b12623
-820037b7
-875713
-1051513
-6e7aa23
-1055513
-6a7ac23
-607ae23
-2500713
-6e7a623
-100713
-f00513
-6e7a823
-ab1ff0ef
-c12583
-1c12083
-58513
-2010113
-eedff06f
-f8010113
-6912a23
-3010493
-7412423
-6112e23
-6812c23
-7212823
-7312623
-7512223
-7612023
-5712e23
-5812c23
-5912a23
-5a12823
-5b12623
-a12423
-4010a13
-48793
-78023
-780a3
-78123
-781a3
-478793
-ff4796e3
-82003437
-49b7
-913
-6c40a93
-2500c13
-2500c93
-100b13
-43898993
-6042a23
-7242c23
-6042e23
-19aa023
-f00513
-7642823
-a01ff0ef
-2010593
-2840513
-a65ff0ef
-2410593
-5c40513
-a59ff0ef
-2810593
-9040513
-a4dff0ef
-2c10593
-c440513
-a41ff0ef
-b93
-400d13
-1000d93
-812783
-cfbc663
-890913
-8000793
-f8f91ce3
-4937
-400993
-413
-8487b3
-7c583
-4bc90513
-140413
-210030ef
-ff3416e3
-448493
-ff4490e3
-5437
-96c40513
-1f8030ef
-400913
-44b7
-100593
-4c448513
-1e4030ef
-593
-4c448513
-1d8030ef
-100593
-4c448513
-1cc030ef
-593
-4c448513
-fff90913
-1bc030ef
-fc0916e3
-96c40513
-1b0030ef
-7c12083
-7812403
-7412483
-7012903
-6c12983
-6812a03
-6412a83
-6012b03
-5c12b83
-5812c03
-5412c83
-5012d03
-4c12d83
-8010113
-8067
-18aa023
-7642823
-f00513
-8e5ff0ef
-793
-f98733
-72503
-1c10593
-f12623
-93dff0ef
-c12783
-2010713
-693
-9785b3
-f70633
-1c10713
-d70733
-74503
-64703
-5c883
-a60023
-e54733
-1176733
-e58023
-168693
-158593
-160613
-fda698e3
-478793
-fbb790e3
-1b8b93
-eb5ff06f
-fd010113
-2912223
-44b7
-2812423
-3212023
-1312e23
-2112623
-50913
-44848493
-413
-4000993
-140793
-f106a3
-4a503
-240793
-810623
-f10723
-340793
-1040413
-c10593
-ff47413
-f107a3
-448493
-85dff0ef
-fd3416e3
-1091713
-1075713
-820037b7
-875713
-1091913
-ae7a423
-1095913
-b27a623
-a07a823
-1700713
-2c12083
-2812403
-ae7a023
-100713
-ae7a223
-2412483
-2012903
-1c12983
-3010113
-8067
-ff010113
-400007b7
-aaaab737
-112623
-812423
-912223
-1212023
-aaa70713
-20078693
-e7a023
-478793
-fed79ce3
-f60ff0ef
-44030ef
-400007b7
-aaaab737
-413
-aaa70713
-20078693
-7a603
-e60463
-140413
-478793
-fed798e3
-400007b7
-55555737
-55570713
-20078693
-e7a023
-478793
-fed79ce3
-f14ff0ef
-7f9020ef
-400007b7
-55555737
-55570713
-20078693
-7a603
-e60463
-140413
-478793
-fed798e3
-40c63
-4537
-10000613
-40593
-4c850513
-781020ef
-802006b7
-40000737
-100793
-368693
-40200637
-17d593
-17f793
-40f007b3
-d7f7b3
-b7c7b3
-f72023
-470713
-fec712e3
-e9cff0ef
-781020ef
-802006b7
-40000737
-493
-100793
-368693
-40200637
-17d593
-17f793
-40f007b3
-d7f7b3
-b7c7b3
-72583
-f58463
-148493
-470713
-fcc71ee3
-48c63
-4537
-80637
-48593
-4ec50513
-6ed020ef
-400006b7
-793
-868693
-2637
-279713
-d70733
-f72023
-178793
-fec798e3
-e18ff0ef
-6fd020ef
-40000737
-106b7
-593
-793
-870713
-fff68693
-2537
-279613
-e60633
-62603
-d67633
-f60463
-158593
-178793
-fea792e3
-2058a63
-4537
-2637
-51050513
-671020ef
-593
-c12083
-812403
-412483
-12903
-58513
-1010113
-8067
-940433
-fe0410e3
-4537
-53450513
-63d020ef
-820027b7
-207a023
-7a823
-7aa23
-7ac23
-7ae23
-ff00713
-e7a023
-10737
-fff70713
-e7a223
-1000737
-fff70713
-e7a423
-fff00713
-e7a623
-100713
-2e7a023
-2e7a223
-d10ff0ef
-50913
-400006b7
-80737
-241793
-d787b3
-87a023
-140413
-fee418e3
-82002437
-100493
-2942223
-ce0ff0ef
-40a905b3
-64000537
-5f8030ef
-50913
-cfcff0ef
-5e1020ef
-2942023
-2942223
-cbcff0ef
-50413
-400007b7
-40200737
-7a683
-478793
-fee79ce3
-820027b7
-100713
-2e7a223
-c94ff0ef
-40a405b3
-64000537
-5ac030ef
-50613
-4537
-90593
-54050513
-551020ef
-100593
-ee1ff06f
-f9010113
-6112623
-6812423
-6912223
-5312e23
-5812423
-7212023
-5412c23
-5512a23
-5612823
-5712623
-5912223
-5a12023
-3b12e23
-855ff0ef
-82003437
-100493
-513
-c8cff0ef
-80942a23
-82942023
-80042a23
-100513
-c78ff0ef
-200793
-80f42a23
-82942023
-4537
-80042a23
-56850513
-4cd020ef
-47b7
-43878793
-1c10993
-413
-f12223
-5c37
-802007b7
-100913
-378793
-891933
-a93
-b93
-493
-f12023
-2c0006f
-48a93
-a0b93
-700793
-1ef48863
-820037b7
-8127aa23
-100713
-82e7a223
-8007aa23
-148493
-3010613
-2010693
-2a00793
-400593
-713
-12803
-17d513
-17f793
-40f007b3
-107f7b3
-a7c7b3
-e68533
-f50023
-170713
-fcb71ee3
-468693
-fcd618e3
-82003a37
-a2623
-a2823
-900793
-a2a23
-fa2223
-100b13
-16a2423
-f00513
-b7cff0ef
-18a0513
-2010593
-bb8ff0ef
-4ca0513
-2410593
-bacff0ef
-80a0513
-2810593
-ba0ff0ef
-b4a0513
-2c10593
-b94ff0ef
-a0a2423
-a0a2623
-a0a2823
-1700793
-afa2023
-b6a2223
-60a2a23
-60a2c23
-47b7
-60a2e23
-48613
-40593
-57878513
-395020ef
-40513
-b1cff0ef
-2000b13
-a13
-82003cb7
-100d93
-2500793
-6fca623
-820037b7
-7b7a823
-f00513
-ae4ff0ef
-2110793
-408786b3
-713
-100d13
-412783
-1c10593
-d12623
-e78633
-62503
-e12423
-b28ff0ef
-c12683
-19c603
-812703
-6c583
-1000813
-c59863
-26c583
-39c603
-c58463
-d13
-470713
-468693
-fb071ae3
-47b7
-d0593
-58478513
-2f5020ef
-40513
-fffb0b13
-1aa0a33
-a90ff0ef
-f60b14e3
-4537
-58850513
-2d5020ef
-820037b7
-7a623
-7a823
-7aa23
-b00713
-e7a223
-100713
-e7a423
-f00513
-a2cff0ef
-40513
-abcff0ef
-96cc0513
-29d020ef
-e14bc6e3
-e11ff06f
-4537
-a8613
-40593
-58c50513
-281020ef
-820037b7
-8127aa23
-100713
-82e7a023
-8007aa23
-82003737
-793
-100693
-3579263
-40513
-a6cff0ef
-96cc0513
-24d020ef
-fff98993
-2041063
-100413
-d8dff06f
-81272a23
-82d72223
-80072a23
-178793
-fcdff06f
-6c12083
-6812403
-6412483
-6012903
-5c12983
-5812a03
-5412a83
-5012b03
-4c12b83
-4812c03
-4412c83
-4012d03
-3c12d83
-100513
-7010113
-8067
-fe010113
-4537
-1212823
-59c50513
-82004937
-112e23
-812c23
-912a23
-1312623
-1c1020ef
-80092023
-82003437
-80092223
-42623
-42823
-c00793
-42a23
-c537
-f42023
-35050513
-914ff0ef
-42623
-42823
-e00793
-42a23
-2537
-f42023
-71050513
-8f4ff0ef
-200793
-f42623
-20000713
-e42823
-f42a23
-f00793
-f42223
-100493
-942423
-42623
-42823
-300993
-1342a23
-f42223
-942423
-42623
-600713
-e42823
-942a23
-f42223
-942423
-900713
-e42623
-1737
-92070713
-e42823
-42a23
-f42223
-c800513
-942423
-878ff0ef
-400793
-f42623
-40000793
-f42823
-42a23
-1342223
-c800513
-942423
-854ff0ef
-b8dff0ef
-c2cff0ef
-88dff0ef
-80992023
-2051263
-80992223
-1c12083
-1812403
-1412483
-1012903
-c12983
-2010113
-8067
-100513
-fe1ff06f
-f7010113
-8112623
-8812423
-8912223
-9212023
-7312e23
-793
-bc079073
-30046073
-5437
-315020ef
-96c40513
-65020ef
-4537
-5b450513
-59020ef
-4537
-5dc50513
-4d020ef
-4537
-60450513
-41020ef
-4537
-62850513
-35020ef
-4537
-64c50513
-29020ef
-96c40513
-21020ef
-4537
-67850513
-15020ef
-4537
-6a050513
-9020ef
-96c40513
-1020ef
-4537
-6c450513
-7f4020ef
-684000ef
-96c40513
-7e8020ef
-4537
-6ec50513
-7dc020ef
-4537
-70850513
-7d0020ef
-96c40513
-7c8020ef
-4537
-72450513
-7bc020ef
-45b7
-4537
-6400613
-75858593
-76450513
-7a4020ef
-4537
-1800593
-78450513
-794020ef
-4537
-400593
-7a050513
-784020ef
-4537
-593
-7bc50513
-774020ef
-4537
-45b7
-7d850513
-764020ef
-96c40513
-75c020ef
-4537
-7f450513
-750020ef
-d69ff0ef
-100793
-50493
-f50863
-5537
-82850513
-734020ef
-96c40513
-72c020ef
-2048663
-5537
-84850513
-71c020ef
-a0000ef
-50863
-5537
-87c50513
-708020ef
-96c40513
-700020ef
-5537
-89450513
-6f4020ef
-1a8010ef
-5937
-54b7
-8c890593
-8dc48513
-6dc020ef
-59b7
-4000593
-3010513
-1c4010ef
-3014783
-2078c63
-96c40513
-6bc020ef
-1010613
-c10593
-3010513
-5b4000ef
-50593
-c12503
-1010613
-638000ef
-51663
-8e098513
-690020ef
-8c890593
-8dc48513
-684020ef
-fadff06f
-68067
-5537
-ec010113
-92c50513
-12112e23
-12812c23
-12912a23
-13212823
-13312623
-13412423
-13512223
-13612023
-11712e23
-11812c23
-644020ef
-5537
-5437
-94450513
-90c40493
-630020ef
-90c40413
-4c503
-8051e63
-820027b7
-207a023
-7a823
-7aa23
-7ac23
-7ae23
-100713
-e7a023
-17d00693
-d7a223
-186b7
-d7868693
-d7a423
-17d86b7
-84068693
-d7a623
-2e7a023
-2e7a223
-b13
-82002ab7
-5100493
-1b00913
-e00993
-100a13
-28aa783
-2caa703
-879793
-e7e7b3
-30aa703
-879793
-e7e7b3
-34aa703
-879793
-e7e7b3
-79e63
-5537
-9f450513
-e00006f
-7b4020ef
-148493
-f59ff06f
-78c020ef
-c050e63
-730020ef
-28950863
-29250663
-16407b3
-107c783
-aa79e63
-1b0b13
-b3b1e63
-54b7
-a93
-300993
-100913
-500413
-8f448493
-82000a37
-6f4020ef
-a10623
-6ec020ef
-a106a3
-6e4020ef
-a10723
-c10b93
-6d8020ef
-a107a3
-b8c13
-b13
-c14583
-1c0c13
-6bb4863
-f14783
-f378063
-e14783
-d14703
-158593
-879793
-e7e7b3
-879b13
-87d793
-fb67b3
-1079b13
-f10513
-10b5b13
-140020ef
-5650c63
-6d4020ef
-2051e63
-1a8a93
-28a9e63
-5537
-97050513
-4ac020ef
-580006f
-f8650513
-153b13
-34aa223
-ed9ff06f
-650020ef
-ac01a3
-1b0b13
-f7dff06f
-640020ef
-fbdff06f
-4300513
-6a4020ef
-f3dff06f
-f14783
-16f46e63
-279793
-9787b3
-7a783
-78067
-4b00513
-680020ef
-100513
-13c12083
-13812403
-13412483
-13012903
-12c12983
-12812a03
-12412a83
-12012b03
-11c12b83
-11812c03
-14010113
-8067
-1014783
-1114703
-1879793
-1071713
-e7e7b3
-1314703
-e7e7b3
-1214703
-871713
-e7e7b3
-ffc78793
-400713
-c14683
-e78633
-1b8b93
-d74c63
-f14783
-a93
-4b00513
-e9279ce3
-f55ff06f
-7bc683
-170713
-d60023
-fd1ff06f
-1014403
-1114783
-4b00513
-1841413
-1079793
-f46433
-1314783
-f46433
-1214783
-879793
-f46433
-5b8020ef
-5537
-40593
-99850513
-37c020ef
-5537
-9c050513
-370020ef
-65c020ef
-793
-bc079073
-30047073
-100f
-13
-13
-13
-13
-13
-cc0027f3
-c79693
-147d713
-c6d693
-793
-2d7e063
-368020ef
-40693
-613
-593
-513
-c9dff0ef
-6f
-78513
-7005500f
-e787b3
-fd5ff06f
-4b00513
-528020ef
-12a2023
-dbdff06f
-1a8a93
-e28a8ce3
-5500513
-e6dff06f
-5537
-a0050513
-2d8020ef
-513
-e85ff06f
-fd010113
-3212023
-50913
-5537
-a0c50513
-2912223
-1412c23
-1512a23
-1612823
-1712623
-1812423
-2112623
-2812423
-1312e23
-1912223
-58493
-60a13
-5b37
-204020ef
-5ab7
-5bb7
-5c37
-4904063
-2812403
-2c12083
-2412483
-2012903
-1c12983
-1812a03
-1412a83
-1012b03
-c12b83
-812c03
-412c83
-5537
-96c50513
-3010113
-2380206f
-1000793
-48413
-97d463
-1000413
-5537
-a0593
-a1c50513
-218020ef
-993
-5cb7
-13907b3
-7c583
-a28c8513
-198993
-1fc020ef
-ff3416e3
-40993
-1000c93
-5999a63
-a24a8513
-1e4020ef
-993
-5e00c93
-13907b3
-7c583
-fe058793
-ff7f793
-4fcf063
-a34c0513
-1c0020ef
-198993
-ff3410e3
-40993
-1000c93
-3999863
-890933
-408484b3
-8a0a33
-f29ff06f
-a30b0513
-194020ef
-198993
-fa1ff06f
-a38b8513
-184020ef
-fc5ff06f
-a24a8513
-178020ef
-198993
-fc5ff06f
-ff010113
-65b7
-812423
-793
-ae05a403
-ae058593
-40f585b3
-513
-112623
-60d010ef
-2a41063
-40593
-812403
-c12083
-5537
-a3c50513
-1010113
-1280206f
-50613
-5537
-40593
-a5850513
-114020ef
-812403
-c12083
-5537
-a8450513
-1010113
-fc0206f
-60793
-2060713
-7a023
-478793
-fef71ce3
-a5a023
-2000713
-54783
-4e78e63
-79663
-513
-8067
-150513
-fe9ff06f
-178793
-2c0006f
-78023
-68513
-178793
-7c683
-fee68ce3
-2068e63
-150693
-251513
-a60533
-f52023
-7c583
-fce58ae3
-fc0594e3
-68513
-8067
-150793
-50023
-2000713
-513
-fc1ff06f
-8067
-fd010113
-2812423
-2912223
-6437
-64b7
-3212023
-1312e23
-2112623
-50913
-58993
-94840413
-99848493
-941663
-513
-380006f
-42783
-90513
-c12623
-47a583
-2c8010ef
-c12603
-2051c63
-42783
-98513
-60593
-7a783
-780e7
-42503
-2c12083
-2812403
-2412483
-2012903
-1c12983
-3010113
-8067
-440413
-fa1ff06f
-cc0027f3
-c79693
-147d713
-c6d693
-793
-d7e463
-8067
-78513
-7005500f
-e787b3
-fedff06f
-820007b7
-100713
-e7a023
-8067
-fe010113
-5537
-1212823
-1312623
-ab850513
-6937
-49b7
-912a23
-1412423
-1512223
-1612023
-112e23
-812c23
-493
-69d010ef
-6a37
-99890913
-5ab7
-46498993
-5b37
-713
-948a0413
-300006f
-42783
-c7a683
-2969063
-87a603
-47a583
-61463
-98613
-adca8513
-719010ef
-100713
-440413
-fd241ae3
-70663
-96cb0513
-701010ef
-148493
-a00793
-faf498e3
-1c12083
-1812403
-1412483
-1012903
-c12983
-812a03
-412a83
-12b03
-2010113
-8067
-ef010113
-10513
-10112623
-7a1010ef
-14783
-10593
-79663
-45b7
-46458593
-5537
-ae850513
-69d010ef
-10c12083
-11010113
-8067
-fe010113
-112e23
-812c23
-912a23
-100793
-2a7c263
-5537
-af450513
-66d010ef
-1c12083
-1812403
-1412483
-2010113
-8067
-58413
-42503
-613
-c10593
-410010ef
-c12783
-50493
-7c783
-78863
-5537
-b0c50513
-fbdff06f
-442503
-c10593
-613
-3e4010ef
-c12783
-50593
-7c783
-78863
-5537
-b2050513
-f91ff06f
-48513
-2b9010ef
-50593
-5537
-b3450513
-5e5010ef
-f79ff06f
-fd010113
-2812423
-2112623
-58413
-a04c63
-513
-93dfe0ef
-5537
-c2850513
-5b9010ef
-42503
-613
-1c10593
-374010ef
-1c12783
-7c783
-2078063
-5537
-c3450513
-591010ef
-2c12083
-2812403
-3010113
-8067
-a12623
-8f1fe0ef
-c12583
-5537
-c4450513
-569010ef
-fd9ff06f
-fff00513
-92dfe06f
-fe010113
-112e23
-812c23
-912a23
-1212823
-2a04463
-5537
-c5850513
-539010ef
-1c12083
-1812403
-1412483
-1012903
-2010113
-8067
-58413
-50493
-42503
-613
-c10593
-2d4010ef
-c12783
-50913
-7c783
-78863
-5537
-b0c50513
-fb5ff06f
-100793
-2f48863
-442503
-c10593
-613
-2a0010ef
-c12783
-50593
-7c783
-78a63
-5537
-c6850513
-f81ff06f
-fff00593
-90513
-939fe0ef
-f75ff06f
-fe010113
-112e23
-a04e63
-5537
-c7850513
-491010ef
-1c12083
-2010113
-8067
-58793
-7a503
-613
-c10593
-23c010ef
-c12783
-7c783
-78863
-5537
-c8c50513
-fc9ff06f
-93dfe0ef
-fc5ff06f
-fe010113
-112e23
-a04e63
-5537
-c9c50513
-439010ef
-1c12083
-2010113
-8067
-58793
-7a503
-613
-c10593
-1e4010ef
-c12783
-7c783
-78863
-5537
-b0c50513
-fc9ff06f
-b0dfe0ef
-fc5ff06f
-fd010113
-2112623
-2812423
-2912223
-3212023
-1312e23
-100793
-2a7c663
-5537
-dfc50513
-3cd010ef
-2c12083
-2812403
-2412483
-2012903
-1c12983
-3010113
-8067
-58413
-50493
-42503
-613
-c10593
-164010ef
-c12783
-50913
-7c783
-78863
-5537
-b0c50513
-fb1ff06f
-442503
-613
-c10593
-138010ef
-c12783
-50993
-7c783
-78863
-5537
-e1c50513
-f85ff06f
-200793
-100513
-2f48663
-842503
-613
-c10593
-100010ef
-c12783
-7c783
-78863
-5537
-c8c50513
-f51ff06f
-793
-279713
-1270733
-f4f502e3
-1372023
-178793
-fedff06f
-fd010113
-2112623
-2812423
-2912223
-3212023
-1312e23
-100793
-2a7c663
-5537
-e2c50513
-2d5010ef
-2c12083
-2812403
-2412483
-2012903
-1c12983
-3010113
-8067
-58413
-50493
-42503
-613
-c10593
-6c010ef
-c12783
-50993
-7c783
-78863
-5537
-e4450513
-fb1ff06f
-442503
-613
-c10593
-40010ef
-c12783
-50913
-7c783
-78863
-5537
-e6450513
-f85ff06f
-200793
-100513
-2f48663
-842503
-613
-c10593
-8010ef
-c12783
-7c783
-78863
-5537
-c8c50513
-f51ff06f
-793
-279713
-e986b3
-e90733
-f4f500e3
-72703
-178793
-e6a023
-fe5ff06f
-fe010113
-112e23
-812c23
-912a23
-1212823
-2a04463
-5537
-e8050513
-1dd010ef
-1c12083
-1812403
-1412483
-1012903
-2010113
-8067
-58413
-50493
-42503
-613
-c10593
-779000ef
-c12783
-50913
-7c783
-78863
-5537
-b0c50513
-fb5ff06f
-100793
-2f48863
-442503
-c10593
-613
-745000ef
-c12783
-50593
-7c783
-78a63
-5537
-e9850513
-f81ff06f
-400593
-90613
-90513
-e80ff0ef
-f71ff06f
-fb010113
-10007b7
-4812423
-3f878413
-5212023
-3312e23
-3412c23
-3512a23
-4112623
-4912223
-3612823
-3712623
-3812423
-3912223
-3a12023
-1b12e23
-50a93
-58a13
-28040993
-3f878713
-3f878913
-70023
-4070713
-ff371ce3
-64b7
-6b37
-94848493
-998b0b13
-a00b93
-7649663
-a8513
-455000ef
-57b7
-9bc78793
-fa2023
-40793
-7c703
-1e071063
-4078793
-ff379ae3
-513
-4c12083
-4812403
-4412483
-4012903
-3c12983
-3812a03
-3412a83
-3012b03
-2c12b83
-2812c03
-2412c83
-2012d03
-1c12d83
-5010113
-8067
-4a783
-a8513
-47a583
-b12623
-3e1000ef
-c12583
-50613
-a8513
-321000ef
-2051463
-40793
-7c703
-2071263
-4a783
-651513
-4000613
-47a583
-a90533
-2a5000ef
-448493
-f45ff06f
-150513
-4078793
-fd7518e3
-fedff06f
-178793
-4070713
-14d79063
-793
-1480006f
-40793
-713
-7c683
-68463
-170713
-4078793
-ff3798e3
-100793
-1000bb7
-513
-af70c63
-a091a63
-4ba503
-a050663
-5cb7
-96cc8513
-7ac010ef
-40b13
-a93
-b4783
-78c63
-b0513
-321000ef
-450513
-aad463
-50a93
-40b0b13
-ff3b10e3
-60a8463
-1a8593
-5000513
-74020ef
-50c13
-a00b13
-5d37
-5db7
-44783
-2078263
-1b0b13
-c0593
-b0513
-90020ef
-4051c63
-40593
-ae4d8513
-738010ef
-4040413
-fd341ae3
-c0593
-b0513
-6c020ef
-50663
-96cc8513
-718010ef
-ba223
-100513
-1248933
-90023
-9a2023
-4c783
-2079263
-100793
-fba223
-e51ff06f
-40613
-a8593
-ef4d0513
-6e0010ef
-fa9ff06f
-ba223
-e35ff06f
-10004b7
-3a048c23
-40713
-793
-3b848493
-a00693
-74603
-ea060ae3
-679793
-f907b3
-913
-a78733
-74683
-ea0688e3
-40713
-74603
-60a63
-a70633
-64603
-60863
-e8d61ae3
-4070713
-ff3712e3
-1248733
-d70023
-150513
-190913
-fc1ff06f
-fe010113
-812c23
-912a23
-1212823
-112e23
-50913
-60493
-6a403
-59663
-62603
-a861e63
-fff78793
-287f063
-1812403
-1c12083
-1412483
-1012903
-700513
-2010113
-4700106f
-140413
-86a023
-8058663
-4a583
-100793
-40b40433
-287f063
-158513
-a70533
-b705b3
-fff40613
-e12623
-2a1000ef
-c12703
-4a783
-5537
-40593
-f707b3
-1278023
-4a603
-f8c50513
-c70633
-5b8010ef
-4a783
-178793
-f4a023
-fff40413
-41e63
-1c12083
-1812403
-1412483
-1012903
-2010113
-8067
-800513
-3e0010ef
-fd9ff06f
-4a783
-5537
-100593
-f707b3
-1278023
-4a603
-f8c50513
-c70633
-55c010ef
-4a783
-178793
-f4a023
-fadff06f
-10007b7
-7aa23
-10007b7
-fff00713
-7a823
-10007b7
-e7a623
-10007b7
-7a423
-10007b7
-3878793
-28078713
-78023
-4078793
-fee79ce3
-8067
-fb010113
-3312e23
-3412c23
-3512a23
-3712623
-59b7
-5bb7
-5ab7
-1000a37
-4812423
-5212023
-3612823
-3812423
-4112623
-4912223
-3912223
-3a12023
-50413
-58b13
-12623
-12823
-100913
-fe498993
-efcb8c13
-9bca8a93
-38a0a13
-358010ef
-1b00793
-50713
-4f51863
-348010ef
-a10c23
-340010ef
-a10ca3
-a98533
-54783
-200493
-47f793
-a078463
-7e00d13
-500c93
-31c010ef
-1810793
-9787b3
-a78023
-148493
-9a50463
-ff9494e3
-fff00713
-ff77513
-a00793
-5af50063
-d00793
-58f50c63
-ff77793
-b00693
-38d78463
-12f6c663
-400693
-30d78863
-8f6cc63
-200693
-2ed78463
-26f6cc63
-100693
-26d78263
-1871713
-41875713
-f4074ce3
-f987b3
-7c783
-977f793
-f40784e3
-b0793
-40713
-1010693
-c10613
-90593
-d71ff0ef
-f2dff06f
-2010793
-9784b3
-fe048c23
-efcb8c93
-493
-1200d13
-ca583
-1810513
-658000ef
-51a63
-349493
-9c04b3
-44c703
-f51ff06f
-148493
-8c8c93
-fda49ce3
-f3dff06f
-600693
-22d78663
-32d7c063
-800693
-10d78063
-900693
-f6d794e3
-1012783
-1410593
-40513
-f407b3
-78023
-c12783
-f407b3
-7c483
-78023
-9fdff0ef
-c12783
-f407b3
-978023
-12051c63
-493
-1412783
-9787b3
-7c503
-e6050ee3
-b0793
-40713
-1010693
-c10613
-90593
-148493
-ca1ff0ef
-fd5ff06f
-1800693
-2ed78063
-6f6c663
-f00693
-2ad78c63
-1000693
-d78663
-e00693
-ecd79ce3
-10007b7
-1000693
-c7a703
-36d51a63
-2074a63
-fff70693
-d7a623
-fff00613
-c69863
-10006b7
-146a683
-d7a623
-10006b7
-c7a583
-106a683
-32d59263
-e7a623
-700513
-e8010ef
-de9ff06f
-8500693
-22d78463
-6f6ca63
-7f00693
-e6d79ae3
-c12583
-dc0586e3
-1012483
-fff58513
-a12623
-40b484b3
-48613
-b405b3
-a40533
-70c000ef
-800513
-a0010ef
-c12603
-5537
-48593
-c40633
-f8c50513
-22c010ef
-2000513
-80010ef
-fff00c93
-800513
-fff48493
-70010ef
-ff949ae3
-1600006f
-8900693
-22d78c63
-ff00693
-f89ff06f
-55b7
-5537
-40613
-8c858593
-f9450513
-1e4010ef
-ea048ae3
-493
-100006f
-800513
-2c010ef
-148493
-1012783
-c12703
-40e787b3
-fef4e4e3
-e8dff06f
-800513
-c010ef
-c12783
-fff78793
-f12623
-c12783
-fe0794e3
-cf9ff06f
-40023
-fff00913
-4c12083
-4812403
-90513
-4412483
-4012903
-3c12983
-3812a03
-3412a83
-3012b03
-2c12b83
-2812c03
-2412c83
-2012d03
-5010113
-8067
-c12783
-1012703
-cae7f4e3
-f407b3
-7c503
-799000ef
-c12783
-178793
-f12623
-c8dff06f
-c12783
-c80782e3
-800513
-779000ef
-c12783
-fff78793
-fe1ff06f
-c12503
-1012483
-c69572e3
-fff48493
-40a484b3
-2048863
-150593
-48613
-b405b3
-a40533
-5a4000ef
-c12603
-5537
-48593
-c40633
-f8c50513
-cc010ef
-2000513
-721000ef
-fff00c93
-800513
-fff48493
-711000ef
-ff949ae3
-1012783
-fff78793
-240006f
-c12483
-1012783
-bef4fce3
-1012783
-48c93
-f4ea63
-c12783
-197ee63
-f12823
-bddff06f
-2000513
-6d1000ef
-148493
-fd9ff06f
-800513
-6c1000ef
-fffc8c93
-fd5ff06f
-c12783
-1012583
-bab7f8e3
-f40633
-40f585b3
-5537
-f8c50513
-3c010ef
-1012783
-f05ff06f
-194913
-b8dff06f
-800513
-681000ef
-c12783
-fff78793
-f12623
-c12783
-fe0794e3
-1012783
-b60784e3
-493
-100006f
-2000513
-655000ef
-148493
-1012783
-48c93
-fef4e6e3
-c12783
-f797f0e3
-800513
-635000ef
-fffc8c93
-fedff06f
-c12503
-1012483
-b29572e3
-40a484b3
-150593
-48613
-b405b3
-a40533
-46c000ef
-c12603
-5537
-fff48593
-c40633
-f8c50513
-795000ef
-2000513
-5e9000ef
-800513
-fff48493
-5dd000ef
-fe049ae3
-ecdff06f
-659593
-ba0cb3
-c12783
-4079a63
-1012783
-8078463
-493
-680006f
-cc0742e3
-10006b7
-106a683
-cae68ce3
-1000637
-1462603
-170713
-e7a623
-e65463
-7a623
-c7a783
-a8c93
-faf68ce3
-679793
-fa0cb3
-fadff06f
-800513
-56d000ef
-c12783
-fff78793
-f12623
-f95ff06f
-2000513
-555000ef
-148493
-1012783
-48d13
-fef4e6e3
-c12783
-3a7ea63
-f12823
-c8593
-40513
-13c000ef
-40513
-254000ef
-c12583
-a12823
-a0a5fee3
-b40633
-40b505b3
-e6dff06f
-800513
-505000ef
-fffd0d13
-fbdff06f
-1012903
-10004b7
-12407b3
-78023
-44783
-6078063
-2100713
-4e78c63
-104a783
-1000537
-3850513
-679793
-f50533
-40593
-d0000ef
-104a783
-900713
-178793
-2f74e63
-f4a823
-10007b7
-104a703
-147a683
-e6d463
-e7aa23
-1000737
-872783
-178793
-f72423
-104a703
-10007b7
-e7a623
-c91ff06f
-4a823
-fc9ff06f
-ff5f593
-54783
-b79463
-8067
-78663
-150513
-fedff06f
-513
-8067
-54703
-2071263
-513
-8067
-fee68ee3
-178793
-7c683
-fe069ae3
-150513
-fddff06f
-58793
-fedff06f
-b505b3
-ff67613
-b50663
-54783
-79663
-513
-8067
-fef60ee3
-150513
-fe5ff06f
-50793
-158593
-fff5c703
-178793
-fee78fa3
-fe0718e3
-8067
-c50633
-50793
-c79463
-8067
-5c703
-e78023
-70463
-158593
-178793
-fe5ff06f
-158593
-54703
-fff5c783
-40f707b3
-1879793
-4187d793
-79663
-150513
-fe0710e3
-78513
-8067
-713
-c71863
-793
-78513
-8067
-e507b3
-7c683
-e587b3
-7c783
-40f687b3
-1879793
-4187d793
-fc079ee3
-fc068ce3
-170713
-fc9ff06f
-50793
-7c703
-178693
-71e63
-158593
-fff5c703
-178793
-fee78fa3
-fe0718e3
-8067
-68793
-fd9ff06f
-50793
-61663
-8067
-68793
-7c703
-178693
-fe071ae3
-c78633
-158593
-fff5c703
-178793
-fee78fa3
-fc070ce3
-fec796e3
-78023
-8067
-50793
-7c703
-71663
-40a78533
-8067
-178793
-fedff06f
-fe010113
-812c23
-b12623
-50413
-112e23
-fd1ff0ef
-c12583
-a40533
-ff5f593
-54783
-b78863
-fff50513
-fe857ae3
-513
-1c12083
-1812403
-2010113
-8067
-b505b3
-50793
-b78663
-7c703
-71663
-40a78533
-8067
-178793
-fe9ff06f
-793
-f50733
-74683
-68e63
-58713
-c0006f
-d60c63
-170713
-74603
-fe061ae3
-78513
-8067
-178793
-fd1ff06f
-713
-e61663
-793
-200006f
-e507b3
-e586b3
-7c783
-6c683
-170713
-40d787b3
-fc078ee3
-78513
-8067
-c50633
-50793
-c79463
-8067
-178793
-feb78fa3
-ff1ff06f
-793
-f61463
-8067
-f58733
-74683
-f50733
-178793
-d70023
-fe5ff06f
-2a5fa63
-fff64693
-793
-fff78793
-2f69663
-8067
-f58733
-74683
-f50733
-178793
-d70023
-fef616e3
-8067
-793
-ff5ff06f
-f60733
-e58833
-84803
-e50733
-1070023
-fbdff06f
-fe010113
-812c23
-50413
-58513
-1312623
-112e23
-912a23
-1212823
-1412423
-58993
-e51ff0ef
-4050063
-50913
-40513
-e41ff0ef
-50493
-a40a33
-409a0433
-124f663
-413
-1c0006f
-90613
-98593
-40513
-fff48493
-ed5ff0ef
-fc051ee3
-40513
-1c12083
-1812403
-1412483
-1012903
-c12983
-812a03
-2010113
-8067
-c50633
-ff5f593
-c51663
-513
-8067
-54703
-150793
-feb70ae3
-78513
-fe5ff06f
-fd010113
-2812423
-3212023
-1312e23
-2112623
-2912223
-5937
-50413
-58993
-54783
-fe490913
-4061e63
-3000713
-a00613
-4e79463
-154783
-150693
-f90733
-74703
-277713
-70663
-fe078793
-ff7f793
-5800713
-ce79c63
-244783
-f907b3
-7c783
-447f793
-c078263
-240413
-1000613
-513
-580006f
-1000713
-fee61ae3
-3000713
-fee796e3
-154783
-f90733
-74703
-277713
-70663
-fe078793
-ff7f793
-5800713
-fce794e3
-240413
-fc1ff06f
-60593
-c12623
-388010ef
-c12603
-950533
-140413
-44783
-f90733
-74703
-4477693
-2068463
-477693
-fd078493
-69c63
-277713
-70663
-fe078793
-ff7f793
-fc978493
-fac4eae3
-98463
-89a023
-2c12083
-2812403
-2412483
-2012903
-1c12983
-3010113
-8067
-68413
-800613
-f41ff06f
-54683
-2d00713
-e68463
-eb1ff06f
-ff010113
-150513
-112623
-ea1ff0ef
-c12083
-40a00533
-1010113
-8067
-5737
-50613
-fe470713
-513
-62683
-6c783
-f707b3
-7c783
-47f793
-79463
-8067
-168793
-f62023
-251793
-a787b3
-6c503
-179793
-a787b3
-fd078513
-fc5ff06f
-f7010113
-7312e23
-68993
-56b7
-8812423
-9212023
-7412c23
-7612823
-60a13
-fe468693
-8112623
-8912223
-7512a23
-7712623
-7812423
-7912223
-4087613
-50413
-58913
-12868b13
-60463
-10068b13
-1087693
-68463
-ffe87813
-ffe98693
-2200613
-513
-22d66a63
-187693
-3000c13
-69463
-2000c13
-287693
-a93
-68a63
-80a5863
-41400a33
-fff70713
-2d00a93
-2087c93
-c8863
-1000693
-8d99e63
-ffe70713
-a0a1263
-3000693
-d10e23
-100493
-48693
-f4d463
-78693
-1187793
-40d70733
-10078463
-a8863
-1247463
-1540023
-140413
-c8e63
-800793
-ef99e63
-1247663
-3000793
-f40023
-140413
-1087813
-14080063
-40513
-d406b3
-3000613
-1480006f
-487693
-68863
-fff70713
-2b00a93
-f71ff06f
-887693
-f60684e3
-fff70713
-2000a93
-f5dff06f
-800693
-f6d994e3
-fff70713
-f61ff06f
-1c10b93
-493
-98593
-a0513
-1012623
-f12423
-e12223
-705000ef
-ab0533
-54683
-98593
-a0513
-db8023
-72d000ef
-148493
-1b8b93
-412703
-812783
-c12803
-f33a60e3
-50a13
-fb5ff06f
-127f463
-a78023
-178793
-40f58633
-fec048e3
-70793
-75463
-793
-fff70713
-f40433
-40f70733
-f01ff06f
-40793
-e405b3
-2000513
-fd1ff06f
-1000793
-f0f99ae3
-1247663
-3000793
-f40023
-140793
-127f663
-21b4783
-f400a3
-240413
-ef1ff06f
-127f463
-1878023
-178793
-40f58633
-fec048e3
-70793
-75463
-793
-fff70713
-f40433
-40f70733
-ec9ff06f
-40793
-e405b3
-fd5ff06f
-1257463
-c50023
-150513
-40a687b3
-fef4c8e3
-48793
-50693
-fff00613
-fff78793
-4c79e63
-950533
-50793
-e50633
-2000593
-40f606b3
-6d04063
-75463
-713
-e50533
-8c12083
-8812403
-8412483
-8012903
-7c12983
-7812a03
-7412a83
-7012b03
-6c12b83
-6812c03
-6412c83
-9010113
-8067
-126fa63
-1c10593
-f585b3
-5c583
-b68023
-168693
-f89ff06f
-127f463
-b78023
-178793
-f91ff06f
-ff010113
-812423
-112623
-58413
-65000ef
-856463
-fff40513
-c12083
-812403
-1010113
-8067
-fc010113
-2d12623
-2c10693
-112e23
-2e12823
-2f12a23
-3012c23
-3112e23
-d12623
-25000ef
-1c12083
-4010113
-8067
-fc010113
-2d12623
-2c10693
-812c23
-112e23
-58413
-2e12823
-2f12a23
-3012c23
-3112e23
-d12623
-7e8000ef
-856463
-fff40513
-1c12083
-1812403
-4010113
-8067
-60693
-58613
-800005b7
-fff5c593
-7bc0006f
-fc010113
-2c12423
-58613
-800005b7
-2d12623
-fff5c593
-2810693
-112e23
-2e12823
-2f12a23
-3012c23
-3112e23
-d12623
-784000ef
-1c12083
-4010113
-8067
-1000737
-1872783
-779513
-f50533
-361967b7
-2e978793
-f50533
-a72c23
-8067
-10007b7
-a7ac23
-8067
-5537
-ff010113
-13450513
-112623
-42c000ef
-6f
-1851713
-1855793
-106b7
-e7e7b3
-f0068693
-855713
-d77733
-e7e7b3
-851513
-ff0737
-e57533
-a7e533
-8067
-851793
-855513
-a7e533
-1051513
-1055513
-8067
-1851713
-1855793
-106b7
-e7e7b3
-f0068693
-855713
-d77733
-e7e7b3
-851513
-ff0737
-e57533
-a7e533
-8067
-851793
-855513
-a7e533
-1051513
-1055513
-8067
-56b7
-793
-b505b3
-14068693
-40a58733
-e04663
-78513
-8067
-150513
-fff54603
-87d713
-879793
-c74733
-271713
-e68733
-75703
-1079793
-107d793
-f747b3
-fc5ff06f
-56b7
-50713
-fff00793
-b508b3
-700813
-54068693
-40e88633
-4c86a63
-35d713
-371693
-40d585b3
-d50533
-2058c63
-56b7
-b505b3
-54068693
-150513
-fff54703
-f74733
-ff77713
-271713
-e68733
-72703
-87d793
-f747b3
-fcb51ee3
-fff7c513
-8067
-74603
-870713
-f64633
-ff67613
-261613
-c68633
-62603
-87d793
-f647b3
-ff974603
-f64633
-ff67613
-261613
-c68633
-62603
-87d793
-f64633
-ffa74783
-c7c7b3
-ff7f793
-279793
-f687b3
-7a303
-ffb74783
-865613
-c34333
-67c7b3
-ff7f793
-279793
-f687b3
-7a603
-ffc74783
-835313
-664633
-c7c7b3
-ff7f793
-279793
-f687b3
-7a303
-ffd74783
-865613
-c34333
-67c7b3
-ff7f793
-279793
-f687b3
-7a783
-ffe74603
-835313
-67c7b3
-f64633
-ff67613
-261613
-c68633
-62303
-fff74603
-87d793
-f34333
-664633
-ff67613
-261613
-c68633
-62783
-835313
-67c7b3
-ea5ff06f
-10007b7
-2a7a223
-8067
-10007b7
-2a7a023
-10007b7
-b7ae23
-8067
-ff010113
-912223
-ff57493
-812423
-50413
-48513
-112623
-3b4000ef
-10007b7
-247a783
-78663
-48513
-780e7
-a00793
-f41663
-d00513
-fc1ff0ef
-40513
-c12083
-812403
-412483
-1010113
-8067
-ff010113
-812423
-112623
-1000437
-348000ef
-50a63
-812403
-c12083
-1010113
-2e00006f
-1c42783
-fe0782e3
-780e7
-fc050ee3
-812403
-10007b7
-c12083
-207a303
-1010113
-30067
-ff010113
-112623
-300000ef
-2051263
-10007b7
-1c7a783
-78663
-780e7
-a03533
-c12083
-1010113
-8067
-100513
-ff1ff06f
-ff010113
-812423
-112623
-50413
-44503
-2051063
-a00513
-f01ff0ef
-c12083
-812403
-100513
-1010113
-8067
-ee9ff0ef
-140413
-fd5ff06f
-ff010113
-812423
-112623
-50413
-44503
-51a63
-c12083
-812403
-1010113
-8067
-eb5ff0ef
-140413
-fe1ff06f
-ef010113
-58693
-50613
-10000593
-10513
-10112623
-10812423
-ac5ff0ef
-10010793
-50413
-a787b3
-10513
-f0078023
-f99ff0ef
-40513
-10c12083
-10812403
-11010113
-8067
-fc010113
-2b12223
-2410593
-112e23
-2c12423
-2d12623
-2e12823
-2f12a23
-3012c23
-3112e23
-b12623
-f89ff0ef
-1c12083
-4010113
-8067
-8067
-ff010113
-812423
-112623
-82002437
-2042023
-42823
-42a23
-42c23
-185b7
-42e23
-6a058593
-1b5000ef
-1855793
-f42023
-1055793
-f42223
-855793
-f42423
-a42623
-100793
-2f42023
-2f42223
-82002737
-100613
-2872783
-2c72683
-879793
-d7e7b3
-3072683
-879793
-d7e7b3
-3472683
-879793
-d7e7b3
-79a63
-c12083
-812403
-1010113
-8067
-2c72223
-fc1ff06f
-50023
-8067
-820027b7
-8107a703
-277793
-79863
-177713
-4071e63
-8067
-10007b7
-307a803
-10005b7
-820026b7
-1000637
-2b858593
-200893
-8086a783
-ff7f793
-fc0798e3
-3462783
-178793
-7f7f793
-f80c63
-3462503
-8006a303
-2f62a23
-a58533
-650023
-8116a823
-fcdff06f
-820027b7
-100713
-80e7a823
-10007b7
-2c7a583
-10006b7
-1000737
-82002637
-2b868693
-2872783
-b78863
-80462783
-ff7f793
-78463
-8067
-2872783
-f687b3
-807c783
-80f62023
-2872783
-178793
-7f7f793
-2f72423
-fc9ff06f
-30002673
-1000737
-867613
-3072783
-70693
-1000737
-2060663
-3472603
-fef60ee3
-1000737
-2b870713
-f70733
-178793
-7f7f793
-74503
-2f6a823
-100006f
-3472703
-513
-fcf71ce3
-8067
-1000737
-10007b7
-347a783
-3072503
-40f50533
-a03533
-8067
-10006b7
-2c6a603
-160793
-7f7f793
-300025f3
-85f593
-1000737
-4058663
-2872583
-fef58ee3
-bc0025f3
-ffe5f813
-bc081073
-2872703
-e61a63
-82002837
-80482703
-ff77713
-2070663
-1000737
-2b870713
-c70733
-8a70023
-2f6a623
-bc059073
-c0006f
-2872583
-faf59ee3
-8067
-80a82023
-fe9ff06f
-10007b7
-207aa23
-10007b7
-207a823
-10007b7
-207a623
-10007b7
-207a423
-820027b7
-8107a703
-ff77713
-80e7a823
-300713
-80e7aa23
-bc0027f3
-17e793
-bc079073
-8067
-10007b7
-2c7a783
-10006b7
-286a703
-fef71ee3
-8067
-fc010113
-2112e23
-2812c23
-2912a23
-3212823
-3312623
-3412423
-3512223
-3612023
-1712e23
-1812c23
-1912a23
-1a12823
-c12623
-4c05c063
-b509b3
-50a13
-58b13
-68493
-a9f663
-fff54b13
-fff00993
-5c37
-6cb7
-a0413
-2500b93
-2000a93
-fe4c0c13
-940c8c93
-2b40006f
-1778a63
-1347463
-f40023
-140413
-2940006f
-913
-2b00713
-2d00613
-3000593
-2300513
-c12683
-168793
-f12623
-16c783
-12e78e63
-12f76063
-13578e63
-14a78063
-fc0733
-74703
-477713
-12070c63
-c10513
-b64ff0ef
-50713
-c12683
-2e00613
-fff00793
-6c583
-2c59e63
-168793
-f12623
-16c603
-cc07b3
-7c783
-47f793
-12078463
-c10513
-e12423
-b24ff0ef
-812703
-50793
-55463
-793
-c12683
-6800593
-6c603
-2b60263
-df67593
-4c00513
-a58c63
-5a00513
-a58863
-7400593
-fff00813
-2b61663
-60813
-168613
-c12623
-6c00613
-c81c63
-16c603
-1061863
-268693
-d12623
-4c00813
-c12683
-6c603
-6e00693
-2cd60a63
-cc6ee63
-6300693
-14d60a63
-ac6ea63
-2d760a63
-5800693
-2cd60c63
-1347463
-1740023
-c12783
-140713
-7c683
-2c068663
-1377463
-d400a3
-240413
-14c0006f
-c78863
-eeb794e3
-196913
-ec1ff06f
-1096913
-eb9ff06f
-496913
-eb1ff06f
-896913
-ea9ff06f
-2096913
-ea1ff06f
-2a00613
-fff00713
-ecc798e3
-4a703
-268693
-d12623
-448493
-ea075ee3
-40e00733
-1096913
-eb1ff06f
-2a00593
-793
-eeb618e3
-268693
-4a503
-d12623
-448493
-ed1ff06f
-6400693
-d60663
-6900693
-f4d618e3
-296913
-a00693
-680006f
-7300693
-14d60863
-4c6e463
-6f00693
-22d60063
-7000693
-f2d614e3
-fff00693
-d71663
-196913
-800713
-4a603
-448d13
-90813
-1000693
-40513
-98593
-9d8ff0ef
-50413
-1640006f
-7500693
-fad602e3
-7800593
-1000693
-eeb610e3
-4c00613
-1cc81863
-748493
-ff84f493
-848d13
-4a603
-1f80006f
-1097913
-a090c63
-40793
-448693
-1347663
-4a603
-c40023
-140413
-e78733
-408707b3
-8f04e63
-68493
-c12783
-178793
-f12623
-c12783
-7c783
-d40794e3
-b0663
-1b347c63
-40023
-41440533
-3c12083
-3812403
-3412483
-3012903
-2c12983
-2812a03
-2412a83
-2012b03
-1c12b83
-1812c03
-1412c83
-1012d03
-4010113
-8067
-1347463
-1540023
-140413
-fff78793
-fef048e3
-fff70793
-e04463
-100713
-40e78733
-170713
-f51ff06f
-70793
-fddff06f
-1347463
-1540023
-140413
-f55ff06f
-448d13
-4a483
-49463
-c8493
-78593
-48513
-e12423
-1097913
-d09fe0ef
-812703
-91863
-70793
-fff70713
-2f54863
-793
-2a7cc63
-50793
-55463
-793
-f40433
-e40733
-408707b3
-2f54c63
-d0493
-efdff06f
-1347463
-1540023
-140413
-fbdff06f
-f406b3
-136f863
-f48633
-64603
-c68023
-178793
-fb1ff06f
-1347463
-1540023
-140413
-fb9ff06f
-4a783
-41440733
-448493
-e7a023
-eadff06f
-c13478e3
-1740023
-c09ff06f
-4096913
-1000693
-e49ff06f
-fff78793
-f12623
-70413
-e85ff06f
-800693
-e31ff06f
-6c00613
-448d13
-e2c80ce3
-fdf87613
-5a00593
-e2b606e3
-7400613
-e2c802e3
-6800613
-297593
-e0c81ce3
-4a603
-1061613
-59863
-1065613
-90813
-dc5ff06f
-41065613
-ff5ff06f
-fe098fa3
-e4dff06f
-513
-e49ff06f
-ff010113
-112623
-812423
-912223
-50413
-58493
-28000ef
-50593
-48513
-1f4000ef
-40a40533
-c12083
-812403
-412483
-1010113
-8067
-fe010113
-112e23
-812c23
-912a23
-a058263
-50413
-8050263
-58513
-b12623
-11c000ef
-50493
-40513
-110000ef
-40a48533
-1f00793
-6a7ec63
-4f50e63
-c12583
-150513
-2000713
-40a70733
-e41733
-793
-a45433
-fff58813
-141413
-1f75613
-866633
-40c806b3
-41f6d693
-171713
-b6f433
-fff50513
-f76733
-40860433
-16f793
-fc051ae3
-171413
-f46433
-40513
-1c12083
-1812403
-1412483
-2010113
-8067
-413
-fe5ff06f
-41f55793
-41f5d713
-f54533
-e5c5b3
-ff010113
-40e585b3
-40f50533
-812423
-112623
-e7c433
-f1dff0ef
-854533
-40850533
-c12083
-812403
-1010113
-8067
-ff010113
-112623
-812423
-912223
-50413
-58493
-fa5ff0ef
-50593
-48513
-b4000ef
-40a40533
-c12083
-812403
-412483
-1010113
-8067
-ffff0737
-e57733
-173693
-469693
-1000793
-40d787b3
-10737
-f557b3
-f0070713
-e7f733
-173713
-371713
-800513
-40e50533
-a7d7b3
-f07f513
-153513
-251513
-d70733
-400693
-40a686b3
-d7d7b3
-e50733
-c7f513
-153513
-200613
-151513
-40a606b3
-d7d7b3
-17d693
-16c693
-16f693
-40d006b3
-40f607b3
-f6f7b3
-e50533
-a78533
-8067
-50793
-513
-79463
-8067
-17f713
-70463
-b50533
-159593
-17d793
-fe5ff06f
-82003028
-8200305c
-82003090
-820030c4
-82003018
-8200304c
-82003080
-820030b4
-616c6564
-203a7379
-0
-2d
-64323025
-30252d2b
-6432
-41524453
-6f6e204d
-6e752077
-20726564
-74666f73
-65726177
-6e6f6320
-6c6f7274
-a
-41524453
-6f6e204d
-6e752077
-20726564
-64726168
-65726177
-6e6f6320
-6c6f7274
-a
-78323025
-0
-783225
-746d654d
-20747365
-20737562
-6c696166
-203a6465
-252f6425
-72652064
-73726f72
-a
-746d654d
-20747365
-61746164
-69616620
-3a64656c
-2f642520
-65206425
-726f7272
-a73
-746d654d
-20747365
-72646461
-69616620
-3a64656c
-2f642520
-65206425
-726f7272
-a73
-746d654d
-20747365
-a4b4f
-736d654d
-64656570
-69725720
-3a736574
-4d642520
-20737062
-64616552
-25203a73
-70624d64
-a73
-64616552
-76656c20
-6e696c65
-a3a67
-2c64256d
-64256220
-7c203a
-6425
-207c
-74736562
-256d203a
-62202c64
-206425
-74696e49
-696c6169
-676e697a
-52445320
-2e2e4d41
-a2e
-6d315b1b
-20202020
-20202020
-20205f5f
-5f205f20
-2020205f
-5f202020
-5f5f2020
-6d305b1b
-a
-6d315b1b
-20202020
-2f202020
-20202f20
-20295f28
-5f5f5f2f
-207c205f
-2f5f2f7c
-6d305b1b
-a
-6d315b1b
-20202020
-202f2020
-2f5f5f2f
-5f202f20
-2d202f5f
-203e295f
-5b1b3c20
-a6d30
-6d315b1b
-20202020
-5f5f2f20
-5f2f5f5f
-5f5f5c2f
-5f5f5c2f
-7c2f5f2f
-5b1b7c5f
-a6d30
-6d315b1b
-42202020
-646c6975
-756f7920
-61682072
-61776472
-202c6572
-69736165
-1b21796c
-a6d305b
-0
-29632820
-706f4320
-67697279
-32207468
-2d323130
-30323032
-6a6e4520
-442d796f
-74696769
-a6c61
-29632820
-706f4320
-67697279
-32207468
-2d373030
-35313032
-4c2d4d20
-a736261
-0
-4f494220
-75622053
-20746c69
-4d206e6f
-20207961
-30322038
-30203032
-39323a31
-a31323a
-0
-67694d20
-67206e65
-73207469
-3a316168
-39636420
-36656663
-a
-74694c20
-67205865
-73207469
-3a316168
-65393720
-35333165
-a66
-3d3d2d2d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-5b1b203d
-6f536d31
-305b1b43
-3d3d206d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-a2d2d
-52786556
-76637369
-0
-6d315b1b
-1b555043
-3a6d305b
-20202020
-25202020
-20402073
-484d6425
-a7a
-6d315b1b
-1b4d4f52
-3a6d305b
-20202020
-25202020
-a424b64
-0
-6d315b1b
-4d415253
-6d305b1b
-2020203a
-25202020
-a424b64
-0
-6d315b1b
-5b1b324c
-203a6d30
-20202020
-25202020
-a424b64
-0
-6d315b1b
-4e49414d
-4d41522d
-6d305b1b
-2520203a
-a424b64
-0
-3d3d2d2d
-3d3d3d3d
-3d3d3d3d
-315b1b20
-696e496d
-6c616974
-74617a69
-1b6e6f69
-206d305b
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-a2d2d
-6f6d654d
-69207972
-6974696e
-7a696c61
-6f697461
-6166206e
-64656c69
-a
-3d3d2d2d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-315b1b20
-6f6f426d
-305b1b74
-3d3d206d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-a2d2d
-62206f4e
-20746f6f
-6964656d
-66206d75
-646e756f
-a
-3d3d2d2d
-3d3d3d3d
-3d3d3d3d
-203d3d3d
-6d315b1b
-736e6f43
-1b656c6f
-206d305b
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-a2d2d
-32395b1b
-6c6d313b
-78657469
-6d305b1b
-203e
-73250a
-6d6d6f43
-20646e61
-20746f6e
-6e756f66
-64
-1598
-15d4
-1638
-15d4
-14b8
-16f0
-44354c73
-6d4d5364
-726b656b
-a6f
-4849367a
-59633747
-36444944
-a6f
-746f6f42
-20676e69
-6d6f7266
-72657320
-2e6c6169
-a2e2e
-73657250
-20512073
-4520726f
-74204353
-6261206f
-2074726f
-746f6f62
-6d6f6320
-74656c70
-2e796c65
-a
-206f6f54
-796e616d
-6e6f6320
-75636573
-65766974
-72726520
-2c73726f
-6f626120
-6e697472
-67
-63657845
-6e697475
-6f622067
-6465746f
-6f727020
-6d617267
-20746120
-30257830
-a0a7838
-0
-3d3d2d2d
-3d3d3d3d
-3d3d3d3d
-203d3d3d
-6d315b1b
-7466694c
-2166666f
-6d305b1b
-3d3d3d20
-3d3d3d3d
-3d3d3d3d
-3d3d3d3d
-a2d2d
-656d6954
-a74756f
-0
-636e6143
-656c6c65
-a64
-6f6d654d
-64207972
-3a706d75
-0
-2578300a
-20783830
-20
-78323025
-20
-202020
-2e
-6325
-4f494220
-52432053
-61702043
-64657373
-30252820
-a297838
-0
-4f494220
-52432053
-61662043
-64656c69
-78652820
-74636570
-25206465
-2c783830
-746f6720
-38302520
-a2978
-65685420
-73797320
-206d6574
-6c6c6977
-6e6f6320
-756e6974
-62202c65
-65207475
-63657078
-72702074
-656c626f
-a2e736d
-0
-74694c0a
-42205865
-2c534f49
-61766120
-62616c69
-6320656c
-616d6d6f
-3a73646e
-a
-36312d25
-202d2073
-a7325
-6e656449
-25203a74
-73
-20637263
-6464613c
-73736572
-6c3c203e
-74676e65
-3e68
-6f636e49
-63657272
-64612074
-73657264
-73
-6f636e49
-63657272
-656c2074
-6874676e
-0
-33435243
-25203a32
-783830
-73756c66
-326c5f68
-6361635f
-6568
-73756c46
-324c2068
-63616320
-6568
-73756c66
-70635f68
-63645f75
-65686361
-0
-73756c46
-50432068
-61642055
-63206174
-65686361
-0
-637263
-706d6f43
-20657475
-33435243
-666f2032
-70206120
-20747261
-7420666f
-61206568
-65726464
-73207373
-65636170
-0
-6f626572
-746f
-65736552
-72702074
-7365636f
-726f73
-6e656469
-74
-70736944
-2079616c
-6e656469
-69666974
-7265
-706c6568
-0
-6e697250
-68742074
-68207369
-706c65
-69726573
-6f626c61
-746f
-746f6f42
-61697620
-4c465320
-0
-63657250
-67726168
-6465
-6f636e49
-63657272
-6f722074
-77
-69746341
-65746176
-6f722064
-64252077
-0
-72726473
-613c2064
-65726464
-3e7373
-6f636e49
-63657272
-51442074
-0
-72726473
-72726564
-6f633c20
-3e746e75
-0
-6f636e49
-63657272
-6f632074
-746e75
-77726473
-613c2072
-65726464
-3e7373
-746d656d
-747365
-206e7552
-656d2061
-79726f6d
-73657420
-74
-6c726473
-6c657665
-0
-66726550
-206d726f
-64616572
-6972772f
-6c206574
-6c657665
-676e69
-69726473
-74696e
-72617453
-44532074
-204d4152
-74696e69
-696c6169
-69746173
-6e6f
-77726473
-72
-74697257
-44532065
-204d4152
-74736574
-74616420
-61
-72726473
-72726564
-0
-6e697250
-44532074
-204d4152
-64616572
-72726520
-73726f
-72726473
-64
-64616552
-52445320
-64204d41
-617461
-72726473
-66756264
-0
-706d7544
-52445320
-72204d41
-20646165
-66667562
-7265
-68726473
-77
-65766947
-44532073
-204d4152
-746e6f63
-206c6f72
-48206f74
-57
-73726473
-77
-65766947
-44532073
-204d4152
-746e6f63
-206c6f72
-53206f74
-57
-72726473
-776f
-63657250
-67726168
-63412f65
-61766974
-72206574
-776f
-3c20776d
-72646461
-3e737365
-61763c20
-3e65756c
-6f635b20
-5d746e75
-0
-6f636e49
-63657272
-61762074
-65756c
-3c20636d
-3e747364
-72733c20
-5b203e63
-6e756f63
-5d74
-6f636e49
-63657272
-65642074
-6e697473
-6f697461
-6461206e
-73657264
-73
-6f636e49
-63657272
-6f732074
-65637275
-64646120
-73736572
-0
-3c20726d
-72646461
-3e737365
-656c5b20
-6874676e
-5d
-636e490a
-6572726f
-6c207463
-74676e65
-68
-636d
-79706f43
-64646120
-73736572
-61707320
-6563
-776d
-74697257
-64612065
-73657264
-70732073
-656361
-726d
-64616552
-64646120
-73736572
-61707320
-6563
-732a2d25
-0
-4f9c
-10
-4fa0
-e
-4fa4
-6
-4fa8
-2
-4fac
-1
-4fb0
-85
-4fb4
-10
-4fb8
-e
-4fbc
-6
-4fc0
-2
-4fc4
-1
-4fc8
-85
-4fcc
-1
-4fd0
-f
-4fd4
-89
-4fd8
-85
-4fdc
-87
-4fe0
-88
-732a2e25
-0
-73257325
-0
-414f
-424f
-434f
-444f
-484f
-464f
-415b
-425b
-435b
-445b
-485b
-465b
-7e315b
-7e325b
-7e335b
-7e345b
-7e355b
-7e365b
-8080808
-8080808
-28282808
-8082828
-8080808
-8080808
-8080808
-8080808
-101010a0
-10101010
-10101010
-10101010
-4040404
-4040404
-10100404
-10101010
-41414110
-1414141
-1010101
-1010101
-1010101
-1010101
-10010101
-10101010
-42424210
-2424242
-2020202
-2020202
-2020202
-2020202
-10020202
-8101010
-0
-0
-0
-0
-0
-0
-0
-0
-101010a0
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-1010101
-1010101
-1010101
-1010101
-1010101
-10010101
-1010101
-2010101
-2020202
-2020202
-2020202
-2020202
-2020202
-10020202
-2020202
-2020202
-33323130
-37363534
-42413938
-46454443
-4a494847
-4e4d4c4b
-5251504f
-56555453
-5a595857
-0
-33323130
-37363534
-62613938
-66656463
-6a696867
-6e6d6c6b
-7271706f
-76757473
-7a797877
-0
-726f6241
-2e646574
-0
-0
-1021
-2042
-3063
-4084
-50a5
-60c6
-70e7
-8108
-9129
-a14a
-b16b
-c18c
-d1ad
-e1ce
-f1ef
-1231
-210
-3273
-2252
-52b5
-4294
-72f7
-62d6
-9339
-8318
-b37b
-a35a
-d3bd
-c39c
-f3ff
-e3de
-2462
-3443
-420
-1401
-64e6
-74c7
-44a4
-5485
-a56a
-b54b
-8528
-9509
-e5ee
-f5cf
-c5ac
-d58d
-3653
-2672
-1611
-630
-76d7
-66f6
-5695
-46b4
-b75b
-a77a
-9719
-8738
-f7df
-e7fe
-d79d
-c7bc
-48c4
-58e5
-6886
-78a7
-840
-1861
-2802
-3823
-c9cc
-d9ed
-e98e
-f9af
-8948
-9969
-a90a
-b92b
-5af5
-4ad4
-7ab7
-6a96
-1a71
-a50
-3a33
-2a12
-dbfd
-cbdc
-fbbf
-eb9e
-9b79
-8b58
-bb3b
-ab1a
-6ca6
-7c87
-4ce4
-5cc5
-2c22
-3c03
-c60
-1c41
-edae
-fd8f
-cdec
-ddcd
-ad2a
-bd0b
-8d68
-9d49
-7e97
-6eb6
-5ed5
-4ef4
-3e13
-2e32
-1e51
-e70
-ff9f
-efbe
-dfdd
-cffc
-bf1b
-af3a
-9f59
-8f78
-9188
-81a9
-b1ca
-a1eb
-d10c
-c12d
-f14e
-e16f
-1080
-a1
-30c2
-20e3
-5004
-4025
-7046
-6067
-83b9
-9398
-a3fb
-b3da
-c33d
-d31c
-e37f
-f35e
-2b1
-1290
-22f3
-32d2
-4235
-5214
-6277
-7256
-b5ea
-a5cb
-95a8
-8589
-f56e
-e54f
-d52c
-c50d
-34e2
-24c3
-14a0
-481
-7466
-6447
-5424
-4405
-a7db
-b7fa
-8799
-97b8
-e75f
-f77e
-c71d
-d73c
-26d3
-36f2
-691
-16b0
-6657
-7676
-4615
-5634
-d94c
-c96d
-f90e
-e92f
-99c8
-89e9
-b98a
-a9ab
-5844
-4865
-7806
-6827
-18c0
-8e1
-3882
-28a3
-cb7d
-db5c
-eb3f
-fb1e
-8bf9
-9bd8
-abbb
-bb9a
-4a75
-5a54
-6a37
-7a16
-af1
-1ad0
-2ab3
-3a92
-fd2e
-ed0f
-dd6c
-cd4d
-bdaa
-ad8b
-9de8
-8dc9
-7c26
-6c07
-5c64
-4c45
-3ca2
-2c83
-1ce0
-cc1
-ef1f
-ff3e
-cf5d
-df7c
-af9b
-bfba
-8fd9
-9ff8
-6e17
-7e36
-4e55
-5e74
-2e93
-3eb2
-ed1
-1ef0
-0
-77073096
-ee0e612c
-990951ba
-76dc419
-706af48f
-e963a535
-9e6495a3
-edb8832
-79dcb8a4
-e0d5e91e
-97d2d988
-9b64c2b
-7eb17cbd
-e7b82d07
-90bf1d91
-1db71064
-6ab020f2
-f3b97148
-84be41de
-1adad47d
-6ddde4eb
-f4d4b551
-83d385c7
-136c9856
-646ba8c0
-fd62f97a
-8a65c9ec
-14015c4f
-63066cd9
-fa0f3d63
-8d080df5
-3b6e20c8
-4c69105e
-d56041e4
-a2677172
-3c03e4d1
-4b04d447
-d20d85fd
-a50ab56b
-35b5a8fa
-42b2986c
-dbbbc9d6
-acbcf940
-32d86ce3
-45df5c75
-dcd60dcf
-abd13d59
-26d930ac
-51de003a
-c8d75180
-bfd06116
-21b4f4b5
-56b3c423
-cfba9599
-b8bda50f
-2802b89e
-5f058808
-c60cd9b2
-b10be924
-2f6f7c87
-58684c11
-c1611dab
-b6662d3d
-76dc4190
-1db7106
-98d220bc
-efd5102a
-71b18589
-6b6b51f
-9fbfe4a5
-e8b8d433
-7807c9a2
-f00f934
-9609a88e
-e10e9818
-7f6a0dbb
-86d3d2d
-91646c97
-e6635c01
-6b6b51f4
-1c6c6162
-856530d8
-f262004e
-6c0695ed
-1b01a57b
-8208f4c1
-f50fc457
-65b0d9c6
-12b7e950
-8bbeb8ea
-fcb9887c
-62dd1ddf
-15da2d49
-8cd37cf3
-fbd44c65
-4db26158
-3ab551ce
-a3bc0074
-d4bb30e2
-4adfa541
-3dd895d7
-a4d1c46d
-d3d6f4fb
-4369e96a
-346ed9fc
-ad678846
-da60b8d0
-44042d73
-33031de5
-aa0a4c5f
-dd0d7cc9
-5005713c
-270241aa
-be0b1010
-c90c2086
-5768b525
-206f85b3
-b966d409
-ce61e49f
-5edef90e
-29d9c998
-b0d09822
-c7d7a8b4
-59b33d17
-2eb40d81
-b7bd5c3b
-c0ba6cad
-edb88320
-9abfb3b6
-3b6e20c
-74b1d29a
-ead54739
-9dd277af
-4db2615
-73dc1683
-e3630b12
-94643b84
-d6d6a3e
-7a6a5aa8
-e40ecf0b
-9309ff9d
-a00ae27
-7d079eb1
-f00f9344
-8708a3d2
-1e01f268
-6906c2fe
-f762575d
-806567cb
-196c3671
-6e6b06e7
-fed41b76
-89d32be0
-10da7a5a
-67dd4acc
-f9b9df6f
-8ebeeff9
-17b7be43
-60b08ed5
-d6d6a3e8
-a1d1937e
-38d8c2c4
-4fdff252
-d1bb67f1
-a6bc5767
-3fb506dd
-48b2364b
-d80d2bda
-af0a1b4c
-36034af6
-41047a60
-df60efc3
-a867df55
-316e8eef
-4669be79
-cb61b38c
-bc66831a
-256fd2a0
-5268e236
-cc0c7795
-bb0b4703
-220216b9
-5505262f
-c5ba3bbe
-b2bd0b28
-2bb45a92
-5cb36a04
-c2d7ffa7
-b5d0cf31
-2cd99e8b
-5bdeae1d
-9b64c2b0
-ec63f226
-756aa39c
-26d930a
-9c0906a9
-eb0e363f
-72076785
-5005713
-95bf4a82
-e2b87a14
-7bb12bae
-cb61b38
-92d28e9b
-e5d5be0d
-7cdcefb7
-bdbdf21
-86d3d2d4
-f1d4e242
-68ddb3f8
-1fda836e
-81be16cd
-f6b9265b
-6fb077e1
-18b74777
-88085ae6
-ff0f6a70
-66063bca
-11010b5c
-8f659eff
-f862ae69
-616bffd3
-166ccf45
-a00ae278
-d70dd2ee
-4e048354
-3903b3c2
-a7672661
-d06016f7
-4969474d
-3e6e77db
-aed16a4a
-d9d65adc
-40df0b66
-37d83bf0
-a9bcae53
-debb9ec5
-47b2cf7f
-30b5ffe9
-bdbdf21c
-cabac28a
-53b39330
-24b4a3a6
-bad03605
-cdd70693
-54de5729
-23d967bf
-b3667a2e
-c4614ab8
-5d681b02
-2a6f2b94
-b40bbe37
-c30c8ea1
-5a05df1b
-2d02ef8d
-4c554e3c
-3e4c
-5998
-59a8
-59b8
-59c8
-59d8
-59e8
-59f8
-5a08
-5a18
-5a28
-5a38
-5a48
-5a58
-5a68
-5a78
-5a88
-5a98
-5aa8
-5ab8
-5ac8
-3a2c
-4b40
-4b50
-2
-1a20
-4b60
-4b74
-2
-1b64
-4b8c
-4b90
-0
-1a4c
-4bc0
-4bc8
-1
-1b28
-4bd8
-4be0
-1
-1a5c
-4bf4
-4bfc
-0
-1378
-4c0c
-4c18
-3
-9b4
-4cac
-4cb4
-4
-cac
-4cc8
-4cd4
-4
-100c
-4cf0
-4cf8
-4
-1da4
-4d14
-4d1c
-4
-1d4c
-4d34
-4d40
-4
-1c98
-4d58
-4d60
-4
-1c90
-4d70
-4d7c
-4
-550
-4d94
-4d9c
-4
-538
-4db8
-4dc0
-4
-1c14
-4ddc
-4de4
-4
-1ef4
-4eac
-4eb0
-6
-1dfc
-4ec4
-4ec8
-6
-1ff4
-4edc
-4ee0
-6
-1
-0
-f4e72d36
+4800002408000048
+01006b69a600607d
+a602487d05009f42
+a64b5a7d14004a39
+2402004ca64b7b7d
+602100003c200000
+6421ffff782107c6
+3d80000060213f00
+798c07c6618c0000
+618c1168658cffff
+4e8004217d8903a6
+0000000048000002
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000048000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+0000000000000000
+38429d003c4c0001
+600000003d20c000
+7929002061292000
+3d40c000f9228040
+614a201839200035
+7c0004ac794a0020
+4e8000207d2057aa
+0000000000000000
+3c4c000100000000
+6000000038429cbc
+39290010e9228040
+7d204eaa7c0004ac
+4082ffe871290008
+e922804060000000
+7c604faa7c0004ac
+000000004e800020
+0000000000000000
+38429c783c4c0001
+fbc1fff07c0802a6
+7fc32214fbe1fff8
+f80100107c7f1b78
+7fbff040f821ffd1
+38210030409e000c
+893f00004800194c
+409e000c2f89000a
+4bffff813860000d
+3bff0001887f0000
+4bffffd04bffff75
+0100000000000000
+3c4c000100000280
+7c0802a638429c14
+fbe1fff8fbc1fff0
+f821fe91f8010010
+f88101983bc10020
+38800140f8a101a0
+7c651b78f8c101a8
+f8e101b038c10198
+f90101b87fc3f378
+f94101c8f92101c0
+60000000480012ed
+7c641b787c7f1b78
+4bffff457fc3f378
+7fe3fb7838210170
+00000000480018ac
+0000028001000000
+38429b983c4c0001
+fbe1fff87c0802a6
+918100087d908026
+f821ff91f8010010
+3d2000014bfffe81
+7d2903a6612986a0
+e922804060000000
+7c0004ac39290010
+4200ffec7d204eaa
+38637d183c62ffff
+3880ffff4bffff3d
+7c0004ac54840002
+3c62ffff7c8026ea
+38637d383fe0c000
+4bffff1963ff0008
+7bff00203c62ffff
+4bffff0938637d58
+7fe0feea7c0004ac
+4182001073e90001
+38637d703c62ffff
+73e900024bfffeed
+418200104e000000
+38637d783c62ffff
+3fe2ffff4bfffed5
+7fe3fb783bff7f60
+3c80c0004bfffec5
+7884002060840010
+7c8026ea7c0004ac
+7884b2823c62ffff
+4bfffea138637d80
+3c80c00041920028
+7884002060840018
+7c8026ea7c0004ac
+788465023c62ffff
+4bfffe7938637da0
+612900203d20c000
+7c0004ac79290020
+3c80000f7d204eea
+608442403c62ffff
+7c89239238637dc0
+7fe3fb784bfffe4d
+419200284bfffe45
+3c82ffff3ca2ffff
+38a57de03c62ffff
+38637df838847df0
+48000be54bfffe25
+3c62ffff60000000
+4bfffe1138637e28
+8181000838210070
+480017147d908120
+0300000000000000
+3863ffff00000180
+3923000178630020
+600000007d2903a6
+4e8000204200fffc
+0000000000000000
+3920000100000000
+7d23183039400030
+3920002839000001
+f86900007c6307b4
+39400000f90a0000
+4e800020f9490000
+0000000000000000
+3920000100000000
+7d23183039400038
+3920002839000001
+f86900007c6307b4
+39400000f90a0000
+4e800020f9490000
+0000000000000000
+3920000400000000
+7d2903a63884ffff
+386300088d240001
+4200fff4f923fff8
+000000004e800020
+0000000000000000
+3884ffff39200004
+e92300007d2903a6
+9d24000138630008
+4e8000204200fff4
+0000000000000000
+3c4c000100000000
+7c0802a63842990c
+f821ff014800156d
+3c62ffff7c7e1b78
+3be0000438637ef8
+600000004bfffcdd
+394100603cc08020
+3920002a60c60003
+78c600207d5d5378
+38e0000039000004
+7928f8427d0903a6
+7d2900d0792907e0
+7d2842787d293038
+7d0a39ae79090020
+4200ffe038e70001
+394a00043bffffff
+4082ffc47bff0021
+3940000939200818
+3860000f3b800001
+39200820fbe90000
+3ea2ffff237e0001
+fbe900007f7b07b4
+3ae008d839200828
+3a8008e03a600025
+39200808fbe90000
+3ac100703a400001
+f94900003ab57f20
+7f1dda1439200810
+4bfffe31fb890000
+386008307fa4eb78
+388100644bfffebd
+4bfffeb138600898
+3860090038810068
+3881006c4bfffea5
+4bfffe9938600968
+3940001739200950
+fbe900007fc3f378
+fbe9000039200958
+fbe9000039200960
+f949000039200940
+fb89000039200948
+fbe90000392008e8
+fbe90000392008f0
+fbe90000392008f8
+4bfffdd93be00000
+79390020213e0003
+7f3dca147d2907b4
+fa7700007e3d4a14
+3860000ffa540000
+3b4000013b800000
+7b890fa44bfffd85
+7c75482a7ec4b378
+7d38e0ae4bfffe39
+7f89500089580010
+7d39e0ae409e0048
+7f89500089510010
+3b9c0004409e0038
+409effc82bbc0010
+393f00012fba0000
+409e00287d3c07b4
+419e001c2f890020
+7f9fe3787fc3f378
+4bffff884bfffd85
+4bffffc83b400000
+38bf00017f9fe378
+7cbc07b47fc3f378
+213e00034bfffd65
+793700207f7dda14
+7efdba147d2907b4
+3a4000253a8008d8
+3a2000013a6008e0
+fa5400007fbd4a14
+3860000ffa330000
+3b2000013b400000
+7b490fa44bfffcc5
+7c75482a7ec4b378
+7d38d0ae4bfffd79
+7f895000895b0010
+7d37d0ae409e0044
+7f895000895d0010
+3b5a0004409e0034
+409effc82bba0010
+419e00282fb90000
+2f89001f393c0001
+419d00187d3c07b4
+4bfffcc97fc3f378
+3b2000004bffff8c
+2f9f00204bffffcc
+7fbd0e707fbfe214
+3c62ffff409e006c
+4bfffa3138637f08
+7fc3f37860000000
+4bfffc593be00000
+419c00707f9fe800
+3940081839200000
+f92a00003860000f
+f92a000039400820
+f92a000039400828
+3940000b39200808
+39200810f9490000
+f949000039400001
+382101004bfffbed
+7cbfe0504800129c
+7ca50e703c62ffff
+7fa4eb787ca50194
+7ca507b438637f10
+600000004bfff9b5
+7fc3f3784bffff84
+4bfffc113bff0001
+4bffff7c7fff07b4
+0100000000000000
+3c4c000100000f80
+7c0802a638429594
+3940000e39200800
+38637fc83c62ffff
+f821ffa1f8010010
+4bfff961f9490000
+3821006060000000
+7c0803a6e8010010
+000000004e800020
+0000008001000000
+384295483c4c0001
+392008007c0802a6
+3c62ffff39400001
+f801001038637f40
+f9490000f821ffa1
+600000004bfff915
+e801001038210060
+4e8000207c0803a6
+0100000000000000
+3c4c000100000080
+7c0802a6384294fc
+7d0903a639000080
+3d2040003d40aaaa
+48001179614aaaaa
+91490000f821ff81
+4200fff839290004
+3d00aaaa39400080
+3d2040007d4903a6
+6108aaaa3be00000
+7f8a400081490000
+3bff0001419e000c
+392900047fff07b4
+390000804200ffe8
+7d0903a63d405555
+614a55553d204000
+3929000491490000
+394000804200fff8
+7d4903a63d005555
+610855553d204000
+7f8a400081490000
+3bff0001419e000c
+392900047fff07b4
+2fbf00004200ffe8
+3c62ffff419e001c
+7fe4fb7838a00100
+4bfff82138637e40
+3d00000860000000
+7d0903a63ce08020
+3d40400060e70003
+78e7002039200001
+792907e07928f842
+394a00047d2900d0
+7d2942787d293838
+4200ffe4912afffc
+3ce080203d000008
+60e700037d0903a6
+3ba000003d404000
+78e7002039200001
+792907e07928f842
+7d2938387d2900d0
+810a00007d294278
+419e000c7f884840
+7fbd07b43bbd0001
+4200ffd4394a0004
+419e001c2fbd0000
+3ca000083c62ffff
+38637e687fa4eb78
+600000004bfff775
+3940000039202000
+3d2a10007d2903a6
+3929000279480020
+79291764394a0001
+4200ffe891090000
+3940000039202000
+3bc000007d2903a6
+792917643d2a1000
+5529043e81290008
+419e000c7f895000
+7fde07b43bde0001
+4200ffdc394a0001
+419e001c2fbe0000
+38a020003c62ffff
+38637e907fc4f378
+600000004bfff6f5
+386000007fffea14
+2f9f00007ffff214
+3c62ffff409e009c
+4bfff6d138637eb8
+7d3602a660000000
+792a00203d000008
+392000007d0903a6
+792700203d091000
+3929000179081764
+4200ffec90e80000
+7d2450507c9602a6
+7c844b963c806400
+7d3602a678840020
+792900203d000008
+3d4040007d0903a6
+394a0004810a0000
+7cb602a64200fff8
+3ca064007d254850
+3c62ffff7ca54b96
+78a5006038637ec8
+600000004bfff64d
+3821008038600001
+0000000048000f34
+0000038001000000
+384292383c4c0001
+48000e8d7c0802a6
+3be00028f821feb1
+3b8000403bc00001
+3e42ffff3ba00000
+3aa000003e82ffff
+3a947f603a527fa8
+386000004bfffc75
+4bfff8213b610070
+fbdc0000fbdf0000
+3ae1006338600001
+3ac10061fbbf0000
+392000024bfff805
+38637f803c62ffff
+fbdc0000f93f0000
+3be00000fbbf0000
+600000004bfff5ad
+fb6100803d22ffff
+f92100a039297f90
+39297f203d22ffff
+3d22fffff92100a8
+f92100b039297fa0
+3b80000139210064
+3bc000007f9cf830
+39210068f9210088
+3b0000007f9c07b4
+f92100903ba00000
+f92100983921006c
+7fbeeb7848000034
+419e02602f9d0007
+3940004839200028
+3bbd000139000001
+7fbd07b4fb890000
+f90a00007f58d378
+39410060faa90000
+3920002a3b400004
+390000047d595378
+7d0903a638e00000
+7928f8423cc08020
+792907e060c60003
+78c600207d2900d0
+7d2842787d293038
+7d0a39ae79090020
+4200ffd438e70001
+394a00043b5affff
+4082ffb87b5a0021
+3940000939200818
+3860000f3a200001
+39200820fb490000
+3a0008e039e00025
+fb49000039c00001
+fb49000039200828
+f949000039200808
+fa29000039200810
+7f24cb784bfff68d
+4bfff71938600830
+38600898e8810088
+4bfff7093b200020
+38600900e8810090
+e88100984bfff6fd
+4bfff6f138600968
+3940001739200950
+7fa5eb78e86100a0
+fb4900007fe4fb78
+fb49000039200958
+fb49000039200960
+f949000039200940
+fa29000039200948
+3a2008d8392008e8
+392008f0fb490000
+392008f8fb490000
+3b400000fb490000
+600000004bfff3ed
+4bfff6197fe3fb78
+f9d00000f9f10000
+3a6000013860000f
+394000004bfff5dd
+79480fa4e92100a8
+f94100b8e8810080
+4bfff6857c69402a
+88fb0001e94100b8
+7f8838007d1650ae
+7d1750ae409e00b4
+7f88380088fb0003
+394a0004409e00a4
+409effbc2baa0010
+7e649b78e86100b0
+7f5a9a143b39ffff
+4bfff3697f5a07b4
+7fe3fb7860000000
+7b3900214bfff5cd
+7e4393784082ff78
+600000004bfff34d
+3940000b39200818
+fb2900003860000f
+fb29000039200820
+fb29000039200828
+f949000039200808
+3940000139200810
+4bfff521f9490000
+4bfff6117fe3fb78
+4bfff3017e83a378
+7f98d00060000000
+7f1ac378419cfdac
+3a6000004bfffda8
+3c62ffff4bffff5c
+7fe4fb787fc5f378
+4bfff2d138637fb0
+7bde002060000000
+3940004039200028
+38fe000139000001
+7ce903a6fb890000
+faa90000f90a0000
+4200003439400048
+3af7ffff7fe3fb78
+7e83a3784bfff59d
+4bfff2893b7bffff
+2f9f000160000000
+419e001c3ad6ffff
+4bfffcf43be00001
+f90a0000fb890000
+4bffffc0faa90000
+3860000138210150
+0000000048000b10
+0000128001000000
+38428e503c4c0001
+3c62ffff7c0802a6
+48000abd38637f68
+3be00000f821ff51
+3b8008203b600818
+3bc008003ba00828
+3ae010083b001000
+3b4008103b200808
+4bfff2013ac00003
+3920000c60000000
+fbf70000fbf80000
+fbfb000038600000
+6063c350fbfc0000
+f93e0000fbfd0000
+3920000e4bfff3e5
+fbfc0000fbfb0000
+fbfd000038602710
+3bc00001f93e0000
+392000024bfff3c5
+386000c839400200
+f95c0000f93b0000
+f93d000039400006
+f93900003920000f
+fbfb0000fbda0000
+fadd0000fbfc0000
+fbda0000f9390000
+f95c0000fbfb0000
+fbdd000039400009
+fbda0000f9390000
+39400920f95b0000
+fbfd0000f95c0000
+fbda0000f9390000
+392000044bfff355
+f93b0000386000c8
+f93c000039200400
+fad90000fbfd0000
+4bfff331fbda0000
+4bfff7e54bfffaf9
+fbd800004bfff82d
+408200102c230000
+382100b0fbd70000
+38600001480009d8
+000000004bfffff4
+00000a8001000000
+408200082c240000
+2b8500243881fff0
+38600000f8640000
+3cc000014d9d0020
+60c6260078c683e4
+89490000e9240000
+419d002c2b8a0020
+70e800017cc75436
+2fa5000040820014
+38a0000a409e0054
+392900014800005c
+4bffffccf9240000
+409e00382fa50000
+38a0000a2b8a0030
+89490001409e003c
+409e00302f8a0078
+38a0001089490001
+409e00202f8a0078
+f924000039290002
+2f85001048000014
+2b8a0030409e000c
+38600000419effd8
+38c9ffd048000030
+2b8a000954ca063e
+7cc90734419d0034
+4c9c00207f892800
+7c6519d238e70001
+7c691a14f8e40000
+89270000e8e40000
+409effc82fa90000
+3949ff9f4e800020
+2b8a0019554a063e
+3929ffa9419d0010
+4bffffbc7d290734
+554a063e3949ffbf
+4d9d00202b8a0019
+4bffffe43929ffc9
+0000000000000000
+3920000000000000
+2f8a00007d4348ae
+7d234b78409e000c
+392900014e800020
+000000004bffffe8
+0000000000000000
+2b8900193923ff9f
+3863ffe04d9d0020
+4e8000207c6307b4
+0000000000000000
+3c4c000100000000
+7c0802a638428b6c
+7d9080263d203736
+792907c661293534
+9181000865293332
+480007d961293130
+7c7d1b78f821ffa1
+3be000007cde3378
+3d206665f9210020
+792907c661296463
+6129393865296261
+7ca92b78f9210028
+409e00802fa90000
+409e00082fbf0000
+7fbf20403be00001
+419d005838600000
+3b9fffff2e270000
+7d3bf1d27f65f392
+7ca12a147ca92850
+4192001088650020
+600000004bffff41
+2fbb00005463063e
+7f65db78e93d0000
+3b9cffff7c69e1ae
+e93d0000409effc8
+7fe9fa1438600001
+38210060fbfd0000
+7d90812081810008
+2b9e001048000774
+7929e102409e0014
+7fff07b43bff0001
+7d29f3924bffff68
+000000004bfffff0
+0000058003000000
+38428a603c4c0001
+480006e97c0802a6
+eb630000f821ffb1
+7c9c23787c7f1b78
+3bc000007cbd2b78
+4bfffe797fa3eb78
+7fa3f04060000000
+e95f0000409d0014
+7fa9e0407d3b5050
+38210050419c0010
+480006f038600001
+3bde00017d3df0ae
+e93f0000992a0000
+f93f000039290001
+000000004bffffb8
+0000058001000000
+384289e03c4c0001
+480006617c0802a6
+7c7d1b78f821ffa1
+7ca32b787c9b2378
+38a0000a38800000
+eb5d00007cde3378
+7d1943787cfc3b78
+4bfffcb57d3f4b78
+3940000060000000
+2fbe00007c6307b4
+2faa0000409e006c
+39400001409e0008
+7f8348007d3f5214
+409d00447d2a07b4
+2f8300007c6a1850
+3929000178690020
+3d408000419c0010
+409e00087f835000
+2c29000139200001
+418200143929ffff
+7d5a3850e8fd0000
+419c00307faad840
+3860000038210060
+2b9c001048000604
+7bdee102409e0014
+7d4a07b4394a0001
+7fdee3924bffff7c
+9b2700004bfffff0
+394a0001e95d0000
+4bffffa8f95d0000
+0100000000000000
+3c4c000100000780
+7c0802a6384288e4
+f821fed148000539
+f86100607c741b79
+4182006838600000
+419e00602fa40000
+3e42ffff39210040
+3b4100203ac4ffff
+60000000f9210070
+392280383ae00000
+3ba100603a527ff0
+89250000f9210078
+2fa90000ebc10060
+7ff4f050419e0010
+419c00207fbfb040
+993e000039200000
+7e941850e8610060
+382101307e8307b4
+2b89002548000508
+409e048839450001
+8925000038e00000
+f8a10068e9010070
+7d2741ae7cea07b4
+8d25000139070001
+2b8900647d0807b4
+2b890069419e0058
+2b890075419e0050
+2b890078419e0048
+2b890058419e0040
+2b890070419e0038
+2b890063419e0030
+2b890073419e0028
+2b890025419e0020
+2b89004f419e0018
+2b89006f419e0010
+409eff8838e70001
+2b890025394a0002
+7d1a42147d4a07b4
+992800207d5a5214
+409e00209aea0020
+f9210060393e0001
+993e000039200025
+38a90002e9210068
+892100414bffff04
+3a2600087fffb050
+3a600030eb660000
+3929ffd23b010042
+4082039c712900fd
+3b2000043aa00000
+3a0000013b800000
+7ddb00d039e0002d
+2b89006c48000108
+88f8000138d80001
+419d0118419e033c
+419e02402b890063
+2b89004f419d0038
+2b890058419e01e8
+3949ffd0419e0188
+2b8a0009554a063e
+395c0001419d00c4
+993c00207f81e214
+480000b0795c0020
+419e03042b890068
+419e000c2b890069
+409effc82b890064
+7d41e2142b890075
+7f6adb789aea0020
+57291838419e0034
+7e0948363929ffff
+418200207f694839
+e921006099e80000
+f921006039290001
+7d52482a7b291f24
+e88100607dca5038
+38e0000a7d465378
+7f45d378f9410080
+7e689b7839200000
+7c9e20507fa3eb78
+4bfffc9d7c84f850
+e9410080e8810060
+38c0000a7ea7ab78
+7d4553787c9e2050
+7fa3eb787c84f850
+3b1800014bfffaed
+e901006089380000
+419e00102fa90000
+7fbf50407d5e4050
+7e268b78419dfee4
+2b8900734bfffe90
+419d006c419e016c
+419e00d42b89006f
+409efef02b890070
+38e000107d21e214
+7c8af8507f66db78
+390000209ae90020
+7f45d37839200002
+4bfffc0d7fa3eb78
+e8a10078e8810060
+7c9e20507fa3eb78
+4bfffb757c84f850
+7ea7ab78e8810060
+7f65db7838c00010
+4bffff5c7c9e2050
+419e00182b890078
+419e01cc2b89007a
+4bfffeb82b890075
+7d21e2143aa00001
+7c8af85038e00010
+9ae900207e689b78
+7f45d3787b291f24
+7d72482a7fa3eb78
+7f6b583839200000
+f96100807d665b78
+e88100604bfffb89
+38c000107ea7ab78
+e96100807c9e2050
+4bfffeec7d655b78
+38e000087d21e214
+7e689b787c8af850
+7b291f249ae90020
+7fa3eb787f45d378
+392000007d72482a
+7d665b787f6b5838
+4bfffb35f9610080
+7ea7ab78e8810060
+7c9e205038c00008
+7d21e2144bffffac
+38e0000a39000020
+9ae9002038c00001
+392000007f45d378
+7fa3eb787c8af850
+e92100604bfffaf9
+e92100609b690000
+f921006039290001
+7d21e2144bfffe6c
+f901009038a0000a
+38800000f9410088
+9ae900207f43d378
+600000004bfff7a9
+7f63db78f8610080
+600000004bfff8cd
+7fa91840e9210080
+7c634850409d0040
+e9010090e9410088
+392300012fa30000
+409e00087d4af850
+2c29000139200001
+3929ffffe8c10060
+7ce8305041820010
+419d00207faa3840
+7f65db78e8810060
+7c9e20507fa3eb78
+4bfff9cd7c84f850
+38e000204bfffdd4
+e8e1006098e60000
+f8e1006038e70001
+2b87006c4bffffb4
+409efdb03b200008
+4bfffda87cd83378
+3b2000022b870068
+7cd83378409efd9c
+4bfffd903b200001
+4bfffd883b200008
+3b0100413a600020
+993e00004bfffc60
+e92100607d455378
+f921006039290001
+000000004bfffb24
+0000128001000000
+f9e1ff78f9c1ff70
+fa21ff88fa01ff80
+fa61ff98fa41ff90
+faa1ffa8fa81ffa0
+fae1ffb8fac1ffb0
+fb21ffc8fb01ffc0
+fb61ffd8fb41ffd0
+fba1ffe8fb81ffe0
+fbe1fff8fbc1fff0
+4e800020f8010010
+e9e1ff78e9c1ff70
+ea21ff88ea01ff80
+ea61ff98ea41ff90
+eaa1ffa8ea81ffa0
+eae1ffb8eac1ffb0
+eb21ffc8eb01ffc0
+eb61ffd8eb41ffd0
+e8010010eb81ffe0
+7c0803a6eba1ffe8
+ebe1fff8ebc1fff0
+ebc1fff04e800020
+ebe1fff8e8010010
+4e8000207c0803a6
+6d6f636c65570a0a
+63694d206f742065
+2120747461776f72
+0000000000000a0a
+67697320636f5320
+203a65727574616e
+0a786c6c36313025
+0000000000000000
+656620636f532020
+203a736572757461
+0000000000000000
+0000002054524155
+000000204d415244
+2020202020202020
+203a4d4152422020
+0a424b20646c6c25
+0000000000000000
+2020202020202020
+203a4d4152442020
+0a424d20646c6c25
+0000000000000000
+2020202020202020
+203a4b4c43202020
+7a484d20646c6c25
+000000000000000a
+6564346264343964
+0000000000000000
+0036656663396364
+4d4152446574694c
+6620746c69756220
+6567694d206d6f72
+646e61207325206e
+2520586574694c20
+0000000000000a73
+20676e69746f6f42
+415242206d6f7266
+0000000a2e2e2e4d
+20747365746d654d
+6c69616620737562
+252f6425203a6465
+73726f7272652064
+000000000000000a
+20747365746d654d
+6961662061746164
+2f6425203a64656c
+726f727265206425
+0000000000000a73
+20747365746d654d
+6961662072646461
+2f6425203a64656c
+726f727265206425
+0000000000000a73
+20747365746d654d
+00000000000a4b4f
+64656570736d654d
+3a73657469725720
+7370624d646c2520
+203a736461655220
+0a7370624d646c25
+0000000000000000
+203a7379616c6564
+0000000000000000
+000000000000002d
+30252d2b64323025
+0000000000006432
+0000000000000850
+00000000000008b8
+0000000000000920
+0000000000000988
+6f6e204d41524453
+207265646e752077
+6572617764726168
+6c6f72746e6f6320
+000000000000000a
+696c616974696e49
+52445320676e697a
+00000a2e2e2e4d41
+76656c2064616552
+000a3a676e696c65
+642562202c64256d
+00000000007c203a
+0000000000006425
+000000000000207c
+256d203a74736562
+0020642562202c64
+0000000078323025
+6f6e204d41524453
+207265646e752077
+6572617774666f73
+6c6f72746e6f6320
+000000000000000a
+0000000000000000
+00000000000000ff
+000000000000ffff
+0000000000ffffff
+00000000ffffffff
+000000ffffffffff
+0000ffffffffffff
+00ffffffffffffff
+ffffffffffffffff
+0000000000007830
//--------------------------------------------------------------------------------
-// Auto-generated by Migen (dc9cfe6) & LiteX (79ee135f) on 2020-05-08 01:29:22
+// Auto-generated by Migen (dc9cfe6) & LiteX (d94db4de) on 2020-05-09 10:54:05
//--------------------------------------------------------------------------------
module litedram_core(
- output reg serial_tx,
- input wire serial_rx,
input wire clk,
input wire rst,
output wire pll_locked,
output wire ddram_reset_n,
output wire init_done,
output wire init_error,
+ input wire [13:0] csr_port0_adr,
+ input wire csr_port0_we,
+ input wire [7:0] csr_port0_dat_w,
+ output wire [7:0] csr_port0_dat_r,
output wire user_clk,
output wire user_rst,
input wire user_port_native_0_cmd_valid,
output wire [127:0] user_port_native_0_rdata_data
);
-reg soc_litedramcore_soccontroller_reset_storage = 1'd0;
-reg soc_litedramcore_soccontroller_reset_re = 1'd0;
-reg [31:0] soc_litedramcore_soccontroller_scratch_storage = 32'd305419896;
-reg soc_litedramcore_soccontroller_scratch_re = 1'd0;
-wire [31:0] soc_litedramcore_soccontroller_bus_errors_status;
-wire soc_litedramcore_soccontroller_bus_errors_we;
-wire soc_litedramcore_soccontroller_reset;
-wire soc_litedramcore_soccontroller_bus_error;
-reg [31:0] soc_litedramcore_soccontroller_bus_errors = 32'd0;
-wire soc_litedramcore_cpu_reset;
-reg [31:0] soc_litedramcore_cpu_interrupt = 32'd0;
-wire [29:0] soc_litedramcore_cpu_ibus_adr;
-wire [31:0] soc_litedramcore_cpu_ibus_dat_w;
-wire [31:0] soc_litedramcore_cpu_ibus_dat_r;
-wire [3:0] soc_litedramcore_cpu_ibus_sel;
-wire soc_litedramcore_cpu_ibus_cyc;
-wire soc_litedramcore_cpu_ibus_stb;
-wire soc_litedramcore_cpu_ibus_ack;
-wire soc_litedramcore_cpu_ibus_we;
-wire [2:0] soc_litedramcore_cpu_ibus_cti;
-wire [1:0] soc_litedramcore_cpu_ibus_bte;
-wire soc_litedramcore_cpu_ibus_err;
-wire [29:0] soc_litedramcore_cpu_dbus_adr;
-wire [31:0] soc_litedramcore_cpu_dbus_dat_w;
-wire [31:0] soc_litedramcore_cpu_dbus_dat_r;
-wire [3:0] soc_litedramcore_cpu_dbus_sel;
-wire soc_litedramcore_cpu_dbus_cyc;
-wire soc_litedramcore_cpu_dbus_stb;
-wire soc_litedramcore_cpu_dbus_ack;
-wire soc_litedramcore_cpu_dbus_we;
-wire [2:0] soc_litedramcore_cpu_dbus_cti;
-wire [1:0] soc_litedramcore_cpu_dbus_bte;
-wire soc_litedramcore_cpu_dbus_err;
-reg [31:0] soc_litedramcore_vexriscv = 32'd0;
-wire [29:0] soc_litedramcore_litedramcore_ram_bus_adr;
-wire [31:0] soc_litedramcore_litedramcore_ram_bus_dat_w;
-wire [31:0] soc_litedramcore_litedramcore_ram_bus_dat_r;
-wire [3:0] soc_litedramcore_litedramcore_ram_bus_sel;
-wire soc_litedramcore_litedramcore_ram_bus_cyc;
-wire soc_litedramcore_litedramcore_ram_bus_stb;
-reg soc_litedramcore_litedramcore_ram_bus_ack = 1'd0;
-wire soc_litedramcore_litedramcore_ram_bus_we;
-wire [2:0] soc_litedramcore_litedramcore_ram_bus_cti;
-wire [1:0] soc_litedramcore_litedramcore_ram_bus_bte;
-reg soc_litedramcore_litedramcore_ram_bus_err = 1'd0;
-wire [12:0] soc_litedramcore_litedramcore_adr;
-wire [31:0] soc_litedramcore_litedramcore_dat_r;
-wire [29:0] soc_litedramcore_ram_bus_ram_bus_adr;
-wire [31:0] soc_litedramcore_ram_bus_ram_bus_dat_w;
-wire [31:0] soc_litedramcore_ram_bus_ram_bus_dat_r;
-wire [3:0] soc_litedramcore_ram_bus_ram_bus_sel;
-wire soc_litedramcore_ram_bus_ram_bus_cyc;
-wire soc_litedramcore_ram_bus_ram_bus_stb;
-reg soc_litedramcore_ram_bus_ram_bus_ack = 1'd0;
-wire soc_litedramcore_ram_bus_ram_bus_we;
-wire [2:0] soc_litedramcore_ram_bus_ram_bus_cti;
-wire [1:0] soc_litedramcore_ram_bus_ram_bus_bte;
-reg soc_litedramcore_ram_bus_ram_bus_err = 1'd0;
-wire [9:0] soc_litedramcore_ram_adr;
-wire [31:0] soc_litedramcore_ram_dat_r;
-reg [3:0] soc_litedramcore_ram_we = 4'd0;
-wire [31:0] soc_litedramcore_ram_dat_w;
-reg [31:0] soc_litedramcore_storage = 32'd4947802;
-reg soc_litedramcore_re = 1'd0;
-wire soc_litedramcore_sink_valid;
-reg soc_litedramcore_sink_ready = 1'd0;
-wire soc_litedramcore_sink_first;
-wire soc_litedramcore_sink_last;
-wire [7:0] soc_litedramcore_sink_payload_data;
-reg soc_litedramcore_uart_clk_txen = 1'd0;
-reg [31:0] soc_litedramcore_phase_accumulator_tx = 32'd0;
-reg [7:0] soc_litedramcore_tx_reg = 8'd0;
-reg [3:0] soc_litedramcore_tx_bitcount = 4'd0;
-reg soc_litedramcore_tx_busy = 1'd0;
-reg soc_litedramcore_source_valid = 1'd0;
-wire soc_litedramcore_source_ready;
-reg soc_litedramcore_source_first = 1'd0;
-reg soc_litedramcore_source_last = 1'd0;
-reg [7:0] soc_litedramcore_source_payload_data = 8'd0;
-reg soc_litedramcore_uart_clk_rxen = 1'd0;
-reg [31:0] soc_litedramcore_phase_accumulator_rx = 32'd0;
-wire soc_litedramcore_rx;
-reg soc_litedramcore_rx_r = 1'd0;
-reg [7:0] soc_litedramcore_rx_reg = 8'd0;
-reg [3:0] soc_litedramcore_rx_bitcount = 4'd0;
-reg soc_litedramcore_rx_busy = 1'd0;
-wire soc_litedramcore_uart_rxtx_re;
-wire [7:0] soc_litedramcore_uart_rxtx_r;
-wire soc_litedramcore_uart_rxtx_we;
-wire [7:0] soc_litedramcore_uart_rxtx_w;
-wire soc_litedramcore_uart_txfull_status;
-wire soc_litedramcore_uart_txfull_we;
-wire soc_litedramcore_uart_rxempty_status;
-wire soc_litedramcore_uart_rxempty_we;
-wire soc_litedramcore_uart_irq;
-wire soc_litedramcore_uart_tx_status;
-reg soc_litedramcore_uart_tx_pending = 1'd0;
-wire soc_litedramcore_uart_tx_trigger;
-reg soc_litedramcore_uart_tx_clear = 1'd0;
-reg soc_litedramcore_uart_tx_old_trigger = 1'd0;
-wire soc_litedramcore_uart_rx_status;
-reg soc_litedramcore_uart_rx_pending = 1'd0;
-wire soc_litedramcore_uart_rx_trigger;
-reg soc_litedramcore_uart_rx_clear = 1'd0;
-reg soc_litedramcore_uart_rx_old_trigger = 1'd0;
-wire soc_litedramcore_uart_eventmanager_status_re;
-wire [1:0] soc_litedramcore_uart_eventmanager_status_r;
-wire soc_litedramcore_uart_eventmanager_status_we;
-reg [1:0] soc_litedramcore_uart_eventmanager_status_w = 2'd0;
-wire soc_litedramcore_uart_eventmanager_pending_re;
-wire [1:0] soc_litedramcore_uart_eventmanager_pending_r;
-wire soc_litedramcore_uart_eventmanager_pending_we;
-reg [1:0] soc_litedramcore_uart_eventmanager_pending_w = 2'd0;
-reg [1:0] soc_litedramcore_uart_eventmanager_storage = 2'd0;
-reg soc_litedramcore_uart_eventmanager_re = 1'd0;
-wire soc_litedramcore_uart_uart_sink_valid;
-wire soc_litedramcore_uart_uart_sink_ready;
-wire soc_litedramcore_uart_uart_sink_first;
-wire soc_litedramcore_uart_uart_sink_last;
-wire [7:0] soc_litedramcore_uart_uart_sink_payload_data;
-wire soc_litedramcore_uart_uart_source_valid;
-wire soc_litedramcore_uart_uart_source_ready;
-wire soc_litedramcore_uart_uart_source_first;
-wire soc_litedramcore_uart_uart_source_last;
-wire [7:0] soc_litedramcore_uart_uart_source_payload_data;
-wire soc_litedramcore_uart_tx_fifo_sink_valid;
-wire soc_litedramcore_uart_tx_fifo_sink_ready;
-reg soc_litedramcore_uart_tx_fifo_sink_first = 1'd0;
-reg soc_litedramcore_uart_tx_fifo_sink_last = 1'd0;
-wire [7:0] soc_litedramcore_uart_tx_fifo_sink_payload_data;
-wire soc_litedramcore_uart_tx_fifo_source_valid;
-wire soc_litedramcore_uart_tx_fifo_source_ready;
-wire soc_litedramcore_uart_tx_fifo_source_first;
-wire soc_litedramcore_uart_tx_fifo_source_last;
-wire [7:0] soc_litedramcore_uart_tx_fifo_source_payload_data;
-wire soc_litedramcore_uart_tx_fifo_re;
-reg soc_litedramcore_uart_tx_fifo_readable = 1'd0;
-wire soc_litedramcore_uart_tx_fifo_syncfifo_we;
-wire soc_litedramcore_uart_tx_fifo_syncfifo_writable;
-wire soc_litedramcore_uart_tx_fifo_syncfifo_re;
-wire soc_litedramcore_uart_tx_fifo_syncfifo_readable;
-wire [9:0] soc_litedramcore_uart_tx_fifo_syncfifo_din;
-wire [9:0] soc_litedramcore_uart_tx_fifo_syncfifo_dout;
-reg [4:0] soc_litedramcore_uart_tx_fifo_level0 = 5'd0;
-reg soc_litedramcore_uart_tx_fifo_replace = 1'd0;
-reg [3:0] soc_litedramcore_uart_tx_fifo_produce = 4'd0;
-reg [3:0] soc_litedramcore_uart_tx_fifo_consume = 4'd0;
-reg [3:0] soc_litedramcore_uart_tx_fifo_wrport_adr = 4'd0;
-wire [9:0] soc_litedramcore_uart_tx_fifo_wrport_dat_r;
-wire soc_litedramcore_uart_tx_fifo_wrport_we;
-wire [9:0] soc_litedramcore_uart_tx_fifo_wrport_dat_w;
-wire soc_litedramcore_uart_tx_fifo_do_read;
-wire [3:0] soc_litedramcore_uart_tx_fifo_rdport_adr;
-wire [9:0] soc_litedramcore_uart_tx_fifo_rdport_dat_r;
-wire soc_litedramcore_uart_tx_fifo_rdport_re;
-wire [4:0] soc_litedramcore_uart_tx_fifo_level1;
-wire [7:0] soc_litedramcore_uart_tx_fifo_fifo_in_payload_data;
-wire soc_litedramcore_uart_tx_fifo_fifo_in_first;
-wire soc_litedramcore_uart_tx_fifo_fifo_in_last;
-wire [7:0] soc_litedramcore_uart_tx_fifo_fifo_out_payload_data;
-wire soc_litedramcore_uart_tx_fifo_fifo_out_first;
-wire soc_litedramcore_uart_tx_fifo_fifo_out_last;
-wire soc_litedramcore_uart_rx_fifo_sink_valid;
-wire soc_litedramcore_uart_rx_fifo_sink_ready;
-wire soc_litedramcore_uart_rx_fifo_sink_first;
-wire soc_litedramcore_uart_rx_fifo_sink_last;
-wire [7:0] soc_litedramcore_uart_rx_fifo_sink_payload_data;
-wire soc_litedramcore_uart_rx_fifo_source_valid;
-wire soc_litedramcore_uart_rx_fifo_source_ready;
-wire soc_litedramcore_uart_rx_fifo_source_first;
-wire soc_litedramcore_uart_rx_fifo_source_last;
-wire [7:0] soc_litedramcore_uart_rx_fifo_source_payload_data;
-wire soc_litedramcore_uart_rx_fifo_re;
-reg soc_litedramcore_uart_rx_fifo_readable = 1'd0;
-wire soc_litedramcore_uart_rx_fifo_syncfifo_we;
-wire soc_litedramcore_uart_rx_fifo_syncfifo_writable;
-wire soc_litedramcore_uart_rx_fifo_syncfifo_re;
-wire soc_litedramcore_uart_rx_fifo_syncfifo_readable;
-wire [9:0] soc_litedramcore_uart_rx_fifo_syncfifo_din;
-wire [9:0] soc_litedramcore_uart_rx_fifo_syncfifo_dout;
-reg [4:0] soc_litedramcore_uart_rx_fifo_level0 = 5'd0;
-reg soc_litedramcore_uart_rx_fifo_replace = 1'd0;
-reg [3:0] soc_litedramcore_uart_rx_fifo_produce = 4'd0;
-reg [3:0] soc_litedramcore_uart_rx_fifo_consume = 4'd0;
-reg [3:0] soc_litedramcore_uart_rx_fifo_wrport_adr = 4'd0;
-wire [9:0] soc_litedramcore_uart_rx_fifo_wrport_dat_r;
-wire soc_litedramcore_uart_rx_fifo_wrport_we;
-wire [9:0] soc_litedramcore_uart_rx_fifo_wrport_dat_w;
-wire soc_litedramcore_uart_rx_fifo_do_read;
-wire [3:0] soc_litedramcore_uart_rx_fifo_rdport_adr;
-wire [9:0] soc_litedramcore_uart_rx_fifo_rdport_dat_r;
-wire soc_litedramcore_uart_rx_fifo_rdport_re;
-wire [4:0] soc_litedramcore_uart_rx_fifo_level1;
-wire [7:0] soc_litedramcore_uart_rx_fifo_fifo_in_payload_data;
-wire soc_litedramcore_uart_rx_fifo_fifo_in_first;
-wire soc_litedramcore_uart_rx_fifo_fifo_in_last;
-wire [7:0] soc_litedramcore_uart_rx_fifo_fifo_out_payload_data;
-wire soc_litedramcore_uart_rx_fifo_fifo_out_first;
-wire soc_litedramcore_uart_rx_fifo_fifo_out_last;
-reg soc_litedramcore_uart_reset = 1'd0;
-reg [31:0] soc_litedramcore_timer_load_storage = 32'd0;
-reg soc_litedramcore_timer_load_re = 1'd0;
-reg [31:0] soc_litedramcore_timer_reload_storage = 32'd0;
-reg soc_litedramcore_timer_reload_re = 1'd0;
-reg soc_litedramcore_timer_en_storage = 1'd0;
-reg soc_litedramcore_timer_en_re = 1'd0;
-reg soc_litedramcore_timer_update_value_storage = 1'd0;
-reg soc_litedramcore_timer_update_value_re = 1'd0;
-reg [31:0] soc_litedramcore_timer_value_status = 32'd0;
-wire soc_litedramcore_timer_value_we;
-wire soc_litedramcore_timer_irq;
-wire soc_litedramcore_timer_zero_status;
-reg soc_litedramcore_timer_zero_pending = 1'd0;
-wire soc_litedramcore_timer_zero_trigger;
-reg soc_litedramcore_timer_zero_clear = 1'd0;
-reg soc_litedramcore_timer_zero_old_trigger = 1'd0;
-wire soc_litedramcore_timer_eventmanager_status_re;
-wire soc_litedramcore_timer_eventmanager_status_r;
-wire soc_litedramcore_timer_eventmanager_status_we;
-wire soc_litedramcore_timer_eventmanager_status_w;
-wire soc_litedramcore_timer_eventmanager_pending_re;
-wire soc_litedramcore_timer_eventmanager_pending_r;
-wire soc_litedramcore_timer_eventmanager_pending_we;
-wire soc_litedramcore_timer_eventmanager_pending_w;
-reg soc_litedramcore_timer_eventmanager_storage = 1'd0;
-reg soc_litedramcore_timer_eventmanager_re = 1'd0;
-reg [31:0] soc_litedramcore_timer_value = 32'd0;
-reg [13:0] soc_litedramcore_interface_adr = 14'd0;
-reg soc_litedramcore_interface_we = 1'd0;
-wire [7:0] soc_litedramcore_interface_dat_w;
-wire [7:0] soc_litedramcore_interface_dat_r;
-wire [29:0] soc_litedramcore_bus_wishbone_adr;
-wire [31:0] soc_litedramcore_bus_wishbone_dat_w;
-wire [31:0] soc_litedramcore_bus_wishbone_dat_r;
-wire [3:0] soc_litedramcore_bus_wishbone_sel;
-wire soc_litedramcore_bus_wishbone_cyc;
-wire soc_litedramcore_bus_wishbone_stb;
-reg soc_litedramcore_bus_wishbone_ack = 1'd0;
-wire soc_litedramcore_bus_wishbone_we;
-wire [2:0] soc_litedramcore_bus_wishbone_cti;
-wire [1:0] soc_litedramcore_bus_wishbone_bte;
-reg soc_litedramcore_bus_wishbone_err = 1'd0;
wire sys_clk;
wire sys_rst;
wire sys4x_clk;
wire sys4x_dqs_clk;
wire iodelay_clk;
wire iodelay_rst;
-wire soc_sys_pll_reset;
-wire soc_sys_pll_locked;
-wire soc_s7pll0_clkin;
-wire soc_s7pll0_clkout0;
-wire soc_s7pll0_clkout_buf0;
-wire soc_s7pll0_clkout1;
-wire soc_s7pll0_clkout_buf1;
-wire soc_s7pll0_clkout2;
-wire soc_s7pll0_clkout_buf2;
-wire soc_iodelay_pll_reset;
-wire soc_iodelay_pll_locked;
-wire soc_s7pll1_clkin;
-wire soc_s7pll1_clkout;
-wire soc_s7pll1_clkout_buf;
-reg [3:0] soc_reset_counter = 4'd15;
-reg soc_ic_reset = 1'd1;
-reg [4:0] soc_a7ddrphy_half_sys8x_taps_storage = 5'd8;
-reg soc_a7ddrphy_half_sys8x_taps_re = 1'd0;
-reg soc_a7ddrphy_wlevel_en_storage = 1'd0;
-reg soc_a7ddrphy_wlevel_en_re = 1'd0;
-wire soc_a7ddrphy_wlevel_strobe_re;
-wire soc_a7ddrphy_wlevel_strobe_r;
-wire soc_a7ddrphy_wlevel_strobe_we;
-reg soc_a7ddrphy_wlevel_strobe_w = 1'd0;
-wire soc_a7ddrphy_cdly_rst_re;
-wire soc_a7ddrphy_cdly_rst_r;
-wire soc_a7ddrphy_cdly_rst_we;
-reg soc_a7ddrphy_cdly_rst_w = 1'd0;
-wire soc_a7ddrphy_cdly_inc_re;
-wire soc_a7ddrphy_cdly_inc_r;
-wire soc_a7ddrphy_cdly_inc_we;
-reg soc_a7ddrphy_cdly_inc_w = 1'd0;
-reg [1:0] soc_a7ddrphy_dly_sel_storage = 2'd0;
-reg soc_a7ddrphy_dly_sel_re = 1'd0;
-wire soc_a7ddrphy_rdly_dq_rst_re;
-wire soc_a7ddrphy_rdly_dq_rst_r;
-wire soc_a7ddrphy_rdly_dq_rst_we;
-reg soc_a7ddrphy_rdly_dq_rst_w = 1'd0;
-wire soc_a7ddrphy_rdly_dq_inc_re;
-wire soc_a7ddrphy_rdly_dq_inc_r;
-wire soc_a7ddrphy_rdly_dq_inc_we;
-reg soc_a7ddrphy_rdly_dq_inc_w = 1'd0;
-wire soc_a7ddrphy_rdly_dq_bitslip_rst_re;
-wire soc_a7ddrphy_rdly_dq_bitslip_rst_r;
-wire soc_a7ddrphy_rdly_dq_bitslip_rst_we;
-reg soc_a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0;
-wire soc_a7ddrphy_rdly_dq_bitslip_re;
-wire soc_a7ddrphy_rdly_dq_bitslip_r;
-wire soc_a7ddrphy_rdly_dq_bitslip_we;
-reg soc_a7ddrphy_rdly_dq_bitslip_w = 1'd0;
-wire [14:0] soc_a7ddrphy_dfi_p0_address;
-wire [2:0] soc_a7ddrphy_dfi_p0_bank;
-wire soc_a7ddrphy_dfi_p0_cas_n;
-wire soc_a7ddrphy_dfi_p0_cs_n;
-wire soc_a7ddrphy_dfi_p0_ras_n;
-wire soc_a7ddrphy_dfi_p0_we_n;
-wire soc_a7ddrphy_dfi_p0_cke;
-wire soc_a7ddrphy_dfi_p0_odt;
-wire soc_a7ddrphy_dfi_p0_reset_n;
-wire soc_a7ddrphy_dfi_p0_act_n;
-wire [31:0] soc_a7ddrphy_dfi_p0_wrdata;
-wire soc_a7ddrphy_dfi_p0_wrdata_en;
-wire [3:0] soc_a7ddrphy_dfi_p0_wrdata_mask;
-wire soc_a7ddrphy_dfi_p0_rddata_en;
-reg [31:0] soc_a7ddrphy_dfi_p0_rddata = 32'd0;
-reg soc_a7ddrphy_dfi_p0_rddata_valid = 1'd0;
-wire [14:0] soc_a7ddrphy_dfi_p1_address;
-wire [2:0] soc_a7ddrphy_dfi_p1_bank;
-wire soc_a7ddrphy_dfi_p1_cas_n;
-wire soc_a7ddrphy_dfi_p1_cs_n;
-wire soc_a7ddrphy_dfi_p1_ras_n;
-wire soc_a7ddrphy_dfi_p1_we_n;
-wire soc_a7ddrphy_dfi_p1_cke;
-wire soc_a7ddrphy_dfi_p1_odt;
-wire soc_a7ddrphy_dfi_p1_reset_n;
-wire soc_a7ddrphy_dfi_p1_act_n;
-wire [31:0] soc_a7ddrphy_dfi_p1_wrdata;
-wire soc_a7ddrphy_dfi_p1_wrdata_en;
-wire [3:0] soc_a7ddrphy_dfi_p1_wrdata_mask;
-wire soc_a7ddrphy_dfi_p1_rddata_en;
-reg [31:0] soc_a7ddrphy_dfi_p1_rddata = 32'd0;
-reg soc_a7ddrphy_dfi_p1_rddata_valid = 1'd0;
-wire [14:0] soc_a7ddrphy_dfi_p2_address;
-wire [2:0] soc_a7ddrphy_dfi_p2_bank;
-wire soc_a7ddrphy_dfi_p2_cas_n;
-wire soc_a7ddrphy_dfi_p2_cs_n;
-wire soc_a7ddrphy_dfi_p2_ras_n;
-wire soc_a7ddrphy_dfi_p2_we_n;
-wire soc_a7ddrphy_dfi_p2_cke;
-wire soc_a7ddrphy_dfi_p2_odt;
-wire soc_a7ddrphy_dfi_p2_reset_n;
-wire soc_a7ddrphy_dfi_p2_act_n;
-wire [31:0] soc_a7ddrphy_dfi_p2_wrdata;
-wire soc_a7ddrphy_dfi_p2_wrdata_en;
-wire [3:0] soc_a7ddrphy_dfi_p2_wrdata_mask;
-wire soc_a7ddrphy_dfi_p2_rddata_en;
-reg [31:0] soc_a7ddrphy_dfi_p2_rddata = 32'd0;
-reg soc_a7ddrphy_dfi_p2_rddata_valid = 1'd0;
-wire [14:0] soc_a7ddrphy_dfi_p3_address;
-wire [2:0] soc_a7ddrphy_dfi_p3_bank;
-wire soc_a7ddrphy_dfi_p3_cas_n;
-wire soc_a7ddrphy_dfi_p3_cs_n;
-wire soc_a7ddrphy_dfi_p3_ras_n;
-wire soc_a7ddrphy_dfi_p3_we_n;
-wire soc_a7ddrphy_dfi_p3_cke;
-wire soc_a7ddrphy_dfi_p3_odt;
-wire soc_a7ddrphy_dfi_p3_reset_n;
-wire soc_a7ddrphy_dfi_p3_act_n;
-wire [31:0] soc_a7ddrphy_dfi_p3_wrdata;
-wire soc_a7ddrphy_dfi_p3_wrdata_en;
-wire [3:0] soc_a7ddrphy_dfi_p3_wrdata_mask;
-wire soc_a7ddrphy_dfi_p3_rddata_en;
-reg [31:0] soc_a7ddrphy_dfi_p3_rddata = 32'd0;
-reg soc_a7ddrphy_dfi_p3_rddata_valid = 1'd0;
-wire soc_a7ddrphy_sd_clk_se_nodelay;
-reg soc_a7ddrphy_dqs_oe = 1'd0;
-reg soc_a7ddrphy_dqs_oe_delayed = 1'd0;
-wire soc_a7ddrphy_dqspattern0;
-wire soc_a7ddrphy_dqspattern1;
-reg [7:0] soc_a7ddrphy_dqspattern_o0 = 8'd0;
-reg [7:0] soc_a7ddrphy_dqspattern_o1 = 8'd0;
-wire [1:0] soc_a7ddrphy_dqs_i;
-wire [1:0] soc_a7ddrphy_dqs_i_delayed;
-wire soc_a7ddrphy_dqs_o_no_delay0;
-wire soc_a7ddrphy_dqs_t0;
-wire soc_a7ddrphy0;
-wire soc_a7ddrphy_dqs_o_no_delay1;
-wire soc_a7ddrphy_dqs_t1;
-wire soc_a7ddrphy1;
-wire soc_a7ddrphy_dq_oe;
-reg soc_a7ddrphy_dq_oe_delayed = 1'd0;
-wire soc_a7ddrphy_dq_o_nodelay0;
-wire soc_a7ddrphy_dq_i_nodelay0;
-wire soc_a7ddrphy_dq_i_delayed0;
-wire soc_a7ddrphy_dq_t0;
-wire [7:0] soc_a7ddrphy_dq_i_data0;
-wire [7:0] soc_a7ddrphy_bitslip0_i;
-reg [7:0] soc_a7ddrphy_bitslip0_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip0_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip0_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay1;
-wire soc_a7ddrphy_dq_i_nodelay1;
-wire soc_a7ddrphy_dq_i_delayed1;
-wire soc_a7ddrphy_dq_t1;
-wire [7:0] soc_a7ddrphy_dq_i_data1;
-wire [7:0] soc_a7ddrphy_bitslip1_i;
-reg [7:0] soc_a7ddrphy_bitslip1_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip1_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip1_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay2;
-wire soc_a7ddrphy_dq_i_nodelay2;
-wire soc_a7ddrphy_dq_i_delayed2;
-wire soc_a7ddrphy_dq_t2;
-wire [7:0] soc_a7ddrphy_dq_i_data2;
-wire [7:0] soc_a7ddrphy_bitslip2_i;
-reg [7:0] soc_a7ddrphy_bitslip2_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip2_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip2_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay3;
-wire soc_a7ddrphy_dq_i_nodelay3;
-wire soc_a7ddrphy_dq_i_delayed3;
-wire soc_a7ddrphy_dq_t3;
-wire [7:0] soc_a7ddrphy_dq_i_data3;
-wire [7:0] soc_a7ddrphy_bitslip3_i;
-reg [7:0] soc_a7ddrphy_bitslip3_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip3_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip3_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay4;
-wire soc_a7ddrphy_dq_i_nodelay4;
-wire soc_a7ddrphy_dq_i_delayed4;
-wire soc_a7ddrphy_dq_t4;
-wire [7:0] soc_a7ddrphy_dq_i_data4;
-wire [7:0] soc_a7ddrphy_bitslip4_i;
-reg [7:0] soc_a7ddrphy_bitslip4_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip4_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip4_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay5;
-wire soc_a7ddrphy_dq_i_nodelay5;
-wire soc_a7ddrphy_dq_i_delayed5;
-wire soc_a7ddrphy_dq_t5;
-wire [7:0] soc_a7ddrphy_dq_i_data5;
-wire [7:0] soc_a7ddrphy_bitslip5_i;
-reg [7:0] soc_a7ddrphy_bitslip5_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip5_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip5_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay6;
-wire soc_a7ddrphy_dq_i_nodelay6;
-wire soc_a7ddrphy_dq_i_delayed6;
-wire soc_a7ddrphy_dq_t6;
-wire [7:0] soc_a7ddrphy_dq_i_data6;
-wire [7:0] soc_a7ddrphy_bitslip6_i;
-reg [7:0] soc_a7ddrphy_bitslip6_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip6_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip6_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay7;
-wire soc_a7ddrphy_dq_i_nodelay7;
-wire soc_a7ddrphy_dq_i_delayed7;
-wire soc_a7ddrphy_dq_t7;
-wire [7:0] soc_a7ddrphy_dq_i_data7;
-wire [7:0] soc_a7ddrphy_bitslip7_i;
-reg [7:0] soc_a7ddrphy_bitslip7_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip7_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip7_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay8;
-wire soc_a7ddrphy_dq_i_nodelay8;
-wire soc_a7ddrphy_dq_i_delayed8;
-wire soc_a7ddrphy_dq_t8;
-wire [7:0] soc_a7ddrphy_dq_i_data8;
-wire [7:0] soc_a7ddrphy_bitslip8_i;
-reg [7:0] soc_a7ddrphy_bitslip8_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip8_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip8_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay9;
-wire soc_a7ddrphy_dq_i_nodelay9;
-wire soc_a7ddrphy_dq_i_delayed9;
-wire soc_a7ddrphy_dq_t9;
-wire [7:0] soc_a7ddrphy_dq_i_data9;
-wire [7:0] soc_a7ddrphy_bitslip9_i;
-reg [7:0] soc_a7ddrphy_bitslip9_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip9_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip9_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay10;
-wire soc_a7ddrphy_dq_i_nodelay10;
-wire soc_a7ddrphy_dq_i_delayed10;
-wire soc_a7ddrphy_dq_t10;
-wire [7:0] soc_a7ddrphy_dq_i_data10;
-wire [7:0] soc_a7ddrphy_bitslip10_i;
-reg [7:0] soc_a7ddrphy_bitslip10_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip10_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip10_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay11;
-wire soc_a7ddrphy_dq_i_nodelay11;
-wire soc_a7ddrphy_dq_i_delayed11;
-wire soc_a7ddrphy_dq_t11;
-wire [7:0] soc_a7ddrphy_dq_i_data11;
-wire [7:0] soc_a7ddrphy_bitslip11_i;
-reg [7:0] soc_a7ddrphy_bitslip11_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip11_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip11_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay12;
-wire soc_a7ddrphy_dq_i_nodelay12;
-wire soc_a7ddrphy_dq_i_delayed12;
-wire soc_a7ddrphy_dq_t12;
-wire [7:0] soc_a7ddrphy_dq_i_data12;
-wire [7:0] soc_a7ddrphy_bitslip12_i;
-reg [7:0] soc_a7ddrphy_bitslip12_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip12_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip12_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay13;
-wire soc_a7ddrphy_dq_i_nodelay13;
-wire soc_a7ddrphy_dq_i_delayed13;
-wire soc_a7ddrphy_dq_t13;
-wire [7:0] soc_a7ddrphy_dq_i_data13;
-wire [7:0] soc_a7ddrphy_bitslip13_i;
-reg [7:0] soc_a7ddrphy_bitslip13_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip13_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip13_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay14;
-wire soc_a7ddrphy_dq_i_nodelay14;
-wire soc_a7ddrphy_dq_i_delayed14;
-wire soc_a7ddrphy_dq_t14;
-wire [7:0] soc_a7ddrphy_dq_i_data14;
-wire [7:0] soc_a7ddrphy_bitslip14_i;
-reg [7:0] soc_a7ddrphy_bitslip14_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip14_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip14_r = 16'd0;
-wire soc_a7ddrphy_dq_o_nodelay15;
-wire soc_a7ddrphy_dq_i_nodelay15;
-wire soc_a7ddrphy_dq_i_delayed15;
-wire soc_a7ddrphy_dq_t15;
-wire [7:0] soc_a7ddrphy_dq_i_data15;
-wire [7:0] soc_a7ddrphy_bitslip15_i;
-reg [7:0] soc_a7ddrphy_bitslip15_o = 8'd0;
-reg [2:0] soc_a7ddrphy_bitslip15_value = 3'd0;
-reg [15:0] soc_a7ddrphy_bitslip15_r = 16'd0;
-wire [7:0] soc_a7ddrphy_rddata_en;
-reg [7:0] soc_a7ddrphy_rddata_en_last = 8'd0;
-wire [3:0] soc_a7ddrphy_wrdata_en;
-reg [3:0] soc_a7ddrphy_wrdata_en_last = 4'd0;
-wire [14:0] soc_sdram_inti_p0_address;
-wire [2:0] soc_sdram_inti_p0_bank;
-reg soc_sdram_inti_p0_cas_n = 1'd1;
-reg soc_sdram_inti_p0_cs_n = 1'd1;
-reg soc_sdram_inti_p0_ras_n = 1'd1;
-reg soc_sdram_inti_p0_we_n = 1'd1;
-wire soc_sdram_inti_p0_cke;
-wire soc_sdram_inti_p0_odt;
-wire soc_sdram_inti_p0_reset_n;
-reg soc_sdram_inti_p0_act_n = 1'd1;
-wire [31:0] soc_sdram_inti_p0_wrdata;
-wire soc_sdram_inti_p0_wrdata_en;
-wire [3:0] soc_sdram_inti_p0_wrdata_mask;
-wire soc_sdram_inti_p0_rddata_en;
-reg [31:0] soc_sdram_inti_p0_rddata = 32'd0;
-reg soc_sdram_inti_p0_rddata_valid = 1'd0;
-wire [14:0] soc_sdram_inti_p1_address;
-wire [2:0] soc_sdram_inti_p1_bank;
-reg soc_sdram_inti_p1_cas_n = 1'd1;
-reg soc_sdram_inti_p1_cs_n = 1'd1;
-reg soc_sdram_inti_p1_ras_n = 1'd1;
-reg soc_sdram_inti_p1_we_n = 1'd1;
-wire soc_sdram_inti_p1_cke;
-wire soc_sdram_inti_p1_odt;
-wire soc_sdram_inti_p1_reset_n;
-reg soc_sdram_inti_p1_act_n = 1'd1;
-wire [31:0] soc_sdram_inti_p1_wrdata;
-wire soc_sdram_inti_p1_wrdata_en;
-wire [3:0] soc_sdram_inti_p1_wrdata_mask;
-wire soc_sdram_inti_p1_rddata_en;
-reg [31:0] soc_sdram_inti_p1_rddata = 32'd0;
-reg soc_sdram_inti_p1_rddata_valid = 1'd0;
-wire [14:0] soc_sdram_inti_p2_address;
-wire [2:0] soc_sdram_inti_p2_bank;
-reg soc_sdram_inti_p2_cas_n = 1'd1;
-reg soc_sdram_inti_p2_cs_n = 1'd1;
-reg soc_sdram_inti_p2_ras_n = 1'd1;
-reg soc_sdram_inti_p2_we_n = 1'd1;
-wire soc_sdram_inti_p2_cke;
-wire soc_sdram_inti_p2_odt;
-wire soc_sdram_inti_p2_reset_n;
-reg soc_sdram_inti_p2_act_n = 1'd1;
-wire [31:0] soc_sdram_inti_p2_wrdata;
-wire soc_sdram_inti_p2_wrdata_en;
-wire [3:0] soc_sdram_inti_p2_wrdata_mask;
-wire soc_sdram_inti_p2_rddata_en;
-reg [31:0] soc_sdram_inti_p2_rddata = 32'd0;
-reg soc_sdram_inti_p2_rddata_valid = 1'd0;
-wire [14:0] soc_sdram_inti_p3_address;
-wire [2:0] soc_sdram_inti_p3_bank;
-reg soc_sdram_inti_p3_cas_n = 1'd1;
-reg soc_sdram_inti_p3_cs_n = 1'd1;
-reg soc_sdram_inti_p3_ras_n = 1'd1;
-reg soc_sdram_inti_p3_we_n = 1'd1;
-wire soc_sdram_inti_p3_cke;
-wire soc_sdram_inti_p3_odt;
-wire soc_sdram_inti_p3_reset_n;
-reg soc_sdram_inti_p3_act_n = 1'd1;
-wire [31:0] soc_sdram_inti_p3_wrdata;
-wire soc_sdram_inti_p3_wrdata_en;
-wire [3:0] soc_sdram_inti_p3_wrdata_mask;
-wire soc_sdram_inti_p3_rddata_en;
-reg [31:0] soc_sdram_inti_p3_rddata = 32'd0;
-reg soc_sdram_inti_p3_rddata_valid = 1'd0;
-wire [14:0] soc_sdram_slave_p0_address;
-wire [2:0] soc_sdram_slave_p0_bank;
-wire soc_sdram_slave_p0_cas_n;
-wire soc_sdram_slave_p0_cs_n;
-wire soc_sdram_slave_p0_ras_n;
-wire soc_sdram_slave_p0_we_n;
-wire soc_sdram_slave_p0_cke;
-wire soc_sdram_slave_p0_odt;
-wire soc_sdram_slave_p0_reset_n;
-wire soc_sdram_slave_p0_act_n;
-wire [31:0] soc_sdram_slave_p0_wrdata;
-wire soc_sdram_slave_p0_wrdata_en;
-wire [3:0] soc_sdram_slave_p0_wrdata_mask;
-wire soc_sdram_slave_p0_rddata_en;
-reg [31:0] soc_sdram_slave_p0_rddata = 32'd0;
-reg soc_sdram_slave_p0_rddata_valid = 1'd0;
-wire [14:0] soc_sdram_slave_p1_address;
-wire [2:0] soc_sdram_slave_p1_bank;
-wire soc_sdram_slave_p1_cas_n;
-wire soc_sdram_slave_p1_cs_n;
-wire soc_sdram_slave_p1_ras_n;
-wire soc_sdram_slave_p1_we_n;
-wire soc_sdram_slave_p1_cke;
-wire soc_sdram_slave_p1_odt;
-wire soc_sdram_slave_p1_reset_n;
-wire soc_sdram_slave_p1_act_n;
-wire [31:0] soc_sdram_slave_p1_wrdata;
-wire soc_sdram_slave_p1_wrdata_en;
-wire [3:0] soc_sdram_slave_p1_wrdata_mask;
-wire soc_sdram_slave_p1_rddata_en;
-reg [31:0] soc_sdram_slave_p1_rddata = 32'd0;
-reg soc_sdram_slave_p1_rddata_valid = 1'd0;
-wire [14:0] soc_sdram_slave_p2_address;
-wire [2:0] soc_sdram_slave_p2_bank;
-wire soc_sdram_slave_p2_cas_n;
-wire soc_sdram_slave_p2_cs_n;
-wire soc_sdram_slave_p2_ras_n;
-wire soc_sdram_slave_p2_we_n;
-wire soc_sdram_slave_p2_cke;
-wire soc_sdram_slave_p2_odt;
-wire soc_sdram_slave_p2_reset_n;
-wire soc_sdram_slave_p2_act_n;
-wire [31:0] soc_sdram_slave_p2_wrdata;
-wire soc_sdram_slave_p2_wrdata_en;
-wire [3:0] soc_sdram_slave_p2_wrdata_mask;
-wire soc_sdram_slave_p2_rddata_en;
-reg [31:0] soc_sdram_slave_p2_rddata = 32'd0;
-reg soc_sdram_slave_p2_rddata_valid = 1'd0;
-wire [14:0] soc_sdram_slave_p3_address;
-wire [2:0] soc_sdram_slave_p3_bank;
-wire soc_sdram_slave_p3_cas_n;
-wire soc_sdram_slave_p3_cs_n;
-wire soc_sdram_slave_p3_ras_n;
-wire soc_sdram_slave_p3_we_n;
-wire soc_sdram_slave_p3_cke;
-wire soc_sdram_slave_p3_odt;
-wire soc_sdram_slave_p3_reset_n;
-wire soc_sdram_slave_p3_act_n;
-wire [31:0] soc_sdram_slave_p3_wrdata;
-wire soc_sdram_slave_p3_wrdata_en;
-wire [3:0] soc_sdram_slave_p3_wrdata_mask;
-wire soc_sdram_slave_p3_rddata_en;
-reg [31:0] soc_sdram_slave_p3_rddata = 32'd0;
-reg soc_sdram_slave_p3_rddata_valid = 1'd0;
-reg [14:0] soc_sdram_master_p0_address = 15'd0;
-reg [2:0] soc_sdram_master_p0_bank = 3'd0;
-reg soc_sdram_master_p0_cas_n = 1'd1;
-reg soc_sdram_master_p0_cs_n = 1'd1;
-reg soc_sdram_master_p0_ras_n = 1'd1;
-reg soc_sdram_master_p0_we_n = 1'd1;
-reg soc_sdram_master_p0_cke = 1'd0;
-reg soc_sdram_master_p0_odt = 1'd0;
-reg soc_sdram_master_p0_reset_n = 1'd0;
-reg soc_sdram_master_p0_act_n = 1'd1;
-reg [31:0] soc_sdram_master_p0_wrdata = 32'd0;
-reg soc_sdram_master_p0_wrdata_en = 1'd0;
-reg [3:0] soc_sdram_master_p0_wrdata_mask = 4'd0;
-reg soc_sdram_master_p0_rddata_en = 1'd0;
-wire [31:0] soc_sdram_master_p0_rddata;
-wire soc_sdram_master_p0_rddata_valid;
-reg [14:0] soc_sdram_master_p1_address = 15'd0;
-reg [2:0] soc_sdram_master_p1_bank = 3'd0;
-reg soc_sdram_master_p1_cas_n = 1'd1;
-reg soc_sdram_master_p1_cs_n = 1'd1;
-reg soc_sdram_master_p1_ras_n = 1'd1;
-reg soc_sdram_master_p1_we_n = 1'd1;
-reg soc_sdram_master_p1_cke = 1'd0;
-reg soc_sdram_master_p1_odt = 1'd0;
-reg soc_sdram_master_p1_reset_n = 1'd0;
-reg soc_sdram_master_p1_act_n = 1'd1;
-reg [31:0] soc_sdram_master_p1_wrdata = 32'd0;
-reg soc_sdram_master_p1_wrdata_en = 1'd0;
-reg [3:0] soc_sdram_master_p1_wrdata_mask = 4'd0;
-reg soc_sdram_master_p1_rddata_en = 1'd0;
-wire [31:0] soc_sdram_master_p1_rddata;
-wire soc_sdram_master_p1_rddata_valid;
-reg [14:0] soc_sdram_master_p2_address = 15'd0;
-reg [2:0] soc_sdram_master_p2_bank = 3'd0;
-reg soc_sdram_master_p2_cas_n = 1'd1;
-reg soc_sdram_master_p2_cs_n = 1'd1;
-reg soc_sdram_master_p2_ras_n = 1'd1;
-reg soc_sdram_master_p2_we_n = 1'd1;
-reg soc_sdram_master_p2_cke = 1'd0;
-reg soc_sdram_master_p2_odt = 1'd0;
-reg soc_sdram_master_p2_reset_n = 1'd0;
-reg soc_sdram_master_p2_act_n = 1'd1;
-reg [31:0] soc_sdram_master_p2_wrdata = 32'd0;
-reg soc_sdram_master_p2_wrdata_en = 1'd0;
-reg [3:0] soc_sdram_master_p2_wrdata_mask = 4'd0;
-reg soc_sdram_master_p2_rddata_en = 1'd0;
-wire [31:0] soc_sdram_master_p2_rddata;
-wire soc_sdram_master_p2_rddata_valid;
-reg [14:0] soc_sdram_master_p3_address = 15'd0;
-reg [2:0] soc_sdram_master_p3_bank = 3'd0;
-reg soc_sdram_master_p3_cas_n = 1'd1;
-reg soc_sdram_master_p3_cs_n = 1'd1;
-reg soc_sdram_master_p3_ras_n = 1'd1;
-reg soc_sdram_master_p3_we_n = 1'd1;
-reg soc_sdram_master_p3_cke = 1'd0;
-reg soc_sdram_master_p3_odt = 1'd0;
-reg soc_sdram_master_p3_reset_n = 1'd0;
-reg soc_sdram_master_p3_act_n = 1'd1;
-reg [31:0] soc_sdram_master_p3_wrdata = 32'd0;
-reg soc_sdram_master_p3_wrdata_en = 1'd0;
-reg [3:0] soc_sdram_master_p3_wrdata_mask = 4'd0;
-reg soc_sdram_master_p3_rddata_en = 1'd0;
-wire [31:0] soc_sdram_master_p3_rddata;
-wire soc_sdram_master_p3_rddata_valid;
-reg [3:0] soc_sdram_storage = 4'd0;
-reg soc_sdram_re = 1'd0;
-reg [5:0] soc_sdram_phaseinjector0_command_storage = 6'd0;
-reg soc_sdram_phaseinjector0_command_re = 1'd0;
-wire soc_sdram_phaseinjector0_command_issue_re;
-wire soc_sdram_phaseinjector0_command_issue_r;
-wire soc_sdram_phaseinjector0_command_issue_we;
-reg soc_sdram_phaseinjector0_command_issue_w = 1'd0;
-reg [14:0] soc_sdram_phaseinjector0_address_storage = 15'd0;
-reg soc_sdram_phaseinjector0_address_re = 1'd0;
-reg [2:0] soc_sdram_phaseinjector0_baddress_storage = 3'd0;
-reg soc_sdram_phaseinjector0_baddress_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector0_wrdata_storage = 32'd0;
-reg soc_sdram_phaseinjector0_wrdata_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector0_status = 32'd0;
-wire soc_sdram_phaseinjector0_we;
-reg [5:0] soc_sdram_phaseinjector1_command_storage = 6'd0;
-reg soc_sdram_phaseinjector1_command_re = 1'd0;
-wire soc_sdram_phaseinjector1_command_issue_re;
-wire soc_sdram_phaseinjector1_command_issue_r;
-wire soc_sdram_phaseinjector1_command_issue_we;
-reg soc_sdram_phaseinjector1_command_issue_w = 1'd0;
-reg [14:0] soc_sdram_phaseinjector1_address_storage = 15'd0;
-reg soc_sdram_phaseinjector1_address_re = 1'd0;
-reg [2:0] soc_sdram_phaseinjector1_baddress_storage = 3'd0;
-reg soc_sdram_phaseinjector1_baddress_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector1_wrdata_storage = 32'd0;
-reg soc_sdram_phaseinjector1_wrdata_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector1_status = 32'd0;
-wire soc_sdram_phaseinjector1_we;
-reg [5:0] soc_sdram_phaseinjector2_command_storage = 6'd0;
-reg soc_sdram_phaseinjector2_command_re = 1'd0;
-wire soc_sdram_phaseinjector2_command_issue_re;
-wire soc_sdram_phaseinjector2_command_issue_r;
-wire soc_sdram_phaseinjector2_command_issue_we;
-reg soc_sdram_phaseinjector2_command_issue_w = 1'd0;
-reg [14:0] soc_sdram_phaseinjector2_address_storage = 15'd0;
-reg soc_sdram_phaseinjector2_address_re = 1'd0;
-reg [2:0] soc_sdram_phaseinjector2_baddress_storage = 3'd0;
-reg soc_sdram_phaseinjector2_baddress_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector2_wrdata_storage = 32'd0;
-reg soc_sdram_phaseinjector2_wrdata_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector2_status = 32'd0;
-wire soc_sdram_phaseinjector2_we;
-reg [5:0] soc_sdram_phaseinjector3_command_storage = 6'd0;
-reg soc_sdram_phaseinjector3_command_re = 1'd0;
-wire soc_sdram_phaseinjector3_command_issue_re;
-wire soc_sdram_phaseinjector3_command_issue_r;
-wire soc_sdram_phaseinjector3_command_issue_we;
-reg soc_sdram_phaseinjector3_command_issue_w = 1'd0;
-reg [14:0] soc_sdram_phaseinjector3_address_storage = 15'd0;
-reg soc_sdram_phaseinjector3_address_re = 1'd0;
-reg [2:0] soc_sdram_phaseinjector3_baddress_storage = 3'd0;
-reg soc_sdram_phaseinjector3_baddress_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector3_wrdata_storage = 32'd0;
-reg soc_sdram_phaseinjector3_wrdata_re = 1'd0;
-reg [31:0] soc_sdram_phaseinjector3_status = 32'd0;
-wire soc_sdram_phaseinjector3_we;
-wire soc_sdram_interface_bank0_valid;
-wire soc_sdram_interface_bank0_ready;
-wire soc_sdram_interface_bank0_we;
-wire [21:0] soc_sdram_interface_bank0_addr;
-wire soc_sdram_interface_bank0_lock;
-wire soc_sdram_interface_bank0_wdata_ready;
-wire soc_sdram_interface_bank0_rdata_valid;
-wire soc_sdram_interface_bank1_valid;
-wire soc_sdram_interface_bank1_ready;
-wire soc_sdram_interface_bank1_we;
-wire [21:0] soc_sdram_interface_bank1_addr;
-wire soc_sdram_interface_bank1_lock;
-wire soc_sdram_interface_bank1_wdata_ready;
-wire soc_sdram_interface_bank1_rdata_valid;
-wire soc_sdram_interface_bank2_valid;
-wire soc_sdram_interface_bank2_ready;
-wire soc_sdram_interface_bank2_we;
-wire [21:0] soc_sdram_interface_bank2_addr;
-wire soc_sdram_interface_bank2_lock;
-wire soc_sdram_interface_bank2_wdata_ready;
-wire soc_sdram_interface_bank2_rdata_valid;
-wire soc_sdram_interface_bank3_valid;
-wire soc_sdram_interface_bank3_ready;
-wire soc_sdram_interface_bank3_we;
-wire [21:0] soc_sdram_interface_bank3_addr;
-wire soc_sdram_interface_bank3_lock;
-wire soc_sdram_interface_bank3_wdata_ready;
-wire soc_sdram_interface_bank3_rdata_valid;
-wire soc_sdram_interface_bank4_valid;
-wire soc_sdram_interface_bank4_ready;
-wire soc_sdram_interface_bank4_we;
-wire [21:0] soc_sdram_interface_bank4_addr;
-wire soc_sdram_interface_bank4_lock;
-wire soc_sdram_interface_bank4_wdata_ready;
-wire soc_sdram_interface_bank4_rdata_valid;
-wire soc_sdram_interface_bank5_valid;
-wire soc_sdram_interface_bank5_ready;
-wire soc_sdram_interface_bank5_we;
-wire [21:0] soc_sdram_interface_bank5_addr;
-wire soc_sdram_interface_bank5_lock;
-wire soc_sdram_interface_bank5_wdata_ready;
-wire soc_sdram_interface_bank5_rdata_valid;
-wire soc_sdram_interface_bank6_valid;
-wire soc_sdram_interface_bank6_ready;
-wire soc_sdram_interface_bank6_we;
-wire [21:0] soc_sdram_interface_bank6_addr;
-wire soc_sdram_interface_bank6_lock;
-wire soc_sdram_interface_bank6_wdata_ready;
-wire soc_sdram_interface_bank6_rdata_valid;
-wire soc_sdram_interface_bank7_valid;
-wire soc_sdram_interface_bank7_ready;
-wire soc_sdram_interface_bank7_we;
-wire [21:0] soc_sdram_interface_bank7_addr;
-wire soc_sdram_interface_bank7_lock;
-wire soc_sdram_interface_bank7_wdata_ready;
-wire soc_sdram_interface_bank7_rdata_valid;
-reg [127:0] soc_sdram_interface_wdata = 128'd0;
-reg [15:0] soc_sdram_interface_wdata_we = 16'd0;
-wire [127:0] soc_sdram_interface_rdata;
-reg [14:0] soc_sdram_dfi_p0_address = 15'd0;
-reg [2:0] soc_sdram_dfi_p0_bank = 3'd0;
-reg soc_sdram_dfi_p0_cas_n = 1'd1;
-reg soc_sdram_dfi_p0_cs_n = 1'd1;
-reg soc_sdram_dfi_p0_ras_n = 1'd1;
-reg soc_sdram_dfi_p0_we_n = 1'd1;
-wire soc_sdram_dfi_p0_cke;
-wire soc_sdram_dfi_p0_odt;
-wire soc_sdram_dfi_p0_reset_n;
-reg soc_sdram_dfi_p0_act_n = 1'd1;
-wire [31:0] soc_sdram_dfi_p0_wrdata;
-reg soc_sdram_dfi_p0_wrdata_en = 1'd0;
-wire [3:0] soc_sdram_dfi_p0_wrdata_mask;
-reg soc_sdram_dfi_p0_rddata_en = 1'd0;
-wire [31:0] soc_sdram_dfi_p0_rddata;
-wire soc_sdram_dfi_p0_rddata_valid;
-reg [14:0] soc_sdram_dfi_p1_address = 15'd0;
-reg [2:0] soc_sdram_dfi_p1_bank = 3'd0;
-reg soc_sdram_dfi_p1_cas_n = 1'd1;
-reg soc_sdram_dfi_p1_cs_n = 1'd1;
-reg soc_sdram_dfi_p1_ras_n = 1'd1;
-reg soc_sdram_dfi_p1_we_n = 1'd1;
-wire soc_sdram_dfi_p1_cke;
-wire soc_sdram_dfi_p1_odt;
-wire soc_sdram_dfi_p1_reset_n;
-reg soc_sdram_dfi_p1_act_n = 1'd1;
-wire [31:0] soc_sdram_dfi_p1_wrdata;
-reg soc_sdram_dfi_p1_wrdata_en = 1'd0;
-wire [3:0] soc_sdram_dfi_p1_wrdata_mask;
-reg soc_sdram_dfi_p1_rddata_en = 1'd0;
-wire [31:0] soc_sdram_dfi_p1_rddata;
-wire soc_sdram_dfi_p1_rddata_valid;
-reg [14:0] soc_sdram_dfi_p2_address = 15'd0;
-reg [2:0] soc_sdram_dfi_p2_bank = 3'd0;
-reg soc_sdram_dfi_p2_cas_n = 1'd1;
-reg soc_sdram_dfi_p2_cs_n = 1'd1;
-reg soc_sdram_dfi_p2_ras_n = 1'd1;
-reg soc_sdram_dfi_p2_we_n = 1'd1;
-wire soc_sdram_dfi_p2_cke;
-wire soc_sdram_dfi_p2_odt;
-wire soc_sdram_dfi_p2_reset_n;
-reg soc_sdram_dfi_p2_act_n = 1'd1;
-wire [31:0] soc_sdram_dfi_p2_wrdata;
-reg soc_sdram_dfi_p2_wrdata_en = 1'd0;
-wire [3:0] soc_sdram_dfi_p2_wrdata_mask;
-reg soc_sdram_dfi_p2_rddata_en = 1'd0;
-wire [31:0] soc_sdram_dfi_p2_rddata;
-wire soc_sdram_dfi_p2_rddata_valid;
-reg [14:0] soc_sdram_dfi_p3_address = 15'd0;
-reg [2:0] soc_sdram_dfi_p3_bank = 3'd0;
-reg soc_sdram_dfi_p3_cas_n = 1'd1;
-reg soc_sdram_dfi_p3_cs_n = 1'd1;
-reg soc_sdram_dfi_p3_ras_n = 1'd1;
-reg soc_sdram_dfi_p3_we_n = 1'd1;
-wire soc_sdram_dfi_p3_cke;
-wire soc_sdram_dfi_p3_odt;
-wire soc_sdram_dfi_p3_reset_n;
-reg soc_sdram_dfi_p3_act_n = 1'd1;
-wire [31:0] soc_sdram_dfi_p3_wrdata;
-reg soc_sdram_dfi_p3_wrdata_en = 1'd0;
-wire [3:0] soc_sdram_dfi_p3_wrdata_mask;
-reg soc_sdram_dfi_p3_rddata_en = 1'd0;
-wire [31:0] soc_sdram_dfi_p3_rddata;
-wire soc_sdram_dfi_p3_rddata_valid;
-reg soc_sdram_cmd_valid = 1'd0;
-reg soc_sdram_cmd_ready = 1'd0;
-reg soc_sdram_cmd_last = 1'd0;
-reg [14:0] soc_sdram_cmd_payload_a = 15'd0;
-reg [2:0] soc_sdram_cmd_payload_ba = 3'd0;
-reg soc_sdram_cmd_payload_cas = 1'd0;
-reg soc_sdram_cmd_payload_ras = 1'd0;
-reg soc_sdram_cmd_payload_we = 1'd0;
-reg soc_sdram_cmd_payload_is_read = 1'd0;
-reg soc_sdram_cmd_payload_is_write = 1'd0;
-wire soc_sdram_wants_refresh;
-wire soc_sdram_wants_zqcs;
-wire soc_sdram_timer_wait;
-wire soc_sdram_timer_done0;
-wire [9:0] soc_sdram_timer_count0;
-wire soc_sdram_timer_done1;
-reg [9:0] soc_sdram_timer_count1 = 10'd781;
-wire soc_sdram_postponer_req_i;
-reg soc_sdram_postponer_req_o = 1'd0;
-reg soc_sdram_postponer_count = 1'd0;
-reg soc_sdram_sequencer_start0 = 1'd0;
-wire soc_sdram_sequencer_done0;
-wire soc_sdram_sequencer_start1;
-reg soc_sdram_sequencer_done1 = 1'd0;
-reg [5:0] soc_sdram_sequencer_counter = 6'd0;
-reg soc_sdram_sequencer_count = 1'd0;
-wire soc_sdram_zqcs_timer_wait;
-wire soc_sdram_zqcs_timer_done0;
-wire [26:0] soc_sdram_zqcs_timer_count0;
-wire soc_sdram_zqcs_timer_done1;
-reg [26:0] soc_sdram_zqcs_timer_count1 = 27'd99999999;
-reg soc_sdram_zqcs_executer_start = 1'd0;
-reg soc_sdram_zqcs_executer_done = 1'd0;
-reg [4:0] soc_sdram_zqcs_executer_counter = 5'd0;
-wire soc_sdram_bankmachine0_req_valid;
-wire soc_sdram_bankmachine0_req_ready;
-wire soc_sdram_bankmachine0_req_we;
-wire [21:0] soc_sdram_bankmachine0_req_addr;
-wire soc_sdram_bankmachine0_req_lock;
-reg soc_sdram_bankmachine0_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine0_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine0_refresh_req;
-reg soc_sdram_bankmachine0_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine0_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine0_cmd_ready = 1'd0;
-reg [14:0] soc_sdram_bankmachine0_cmd_payload_a = 15'd0;
-wire [2:0] soc_sdram_bankmachine0_cmd_payload_ba;
-reg soc_sdram_bankmachine0_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine0_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine0_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine0_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine0_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine0_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine0_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we;
-wire [21:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
-wire [24:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
-wire [24:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
-reg [4:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine0_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [24:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_we;
-wire [24:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr;
-wire [24:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [21:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [21:0] soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine0_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine0_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine0_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine0_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine0_cmd_buffer_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine0_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine0_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine0_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine0_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine0_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine0_cmd_buffer_source_payload_we = 1'd0;
-reg [21:0] soc_sdram_bankmachine0_cmd_buffer_source_payload_addr = 22'd0;
-reg [14:0] soc_sdram_bankmachine0_row = 15'd0;
-reg soc_sdram_bankmachine0_row_opened = 1'd0;
-wire soc_sdram_bankmachine0_row_hit;
-reg soc_sdram_bankmachine0_row_open = 1'd0;
-reg soc_sdram_bankmachine0_row_close = 1'd0;
-reg soc_sdram_bankmachine0_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine0_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine0_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine0_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine0_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine0_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine0_trccon_count = 3'd0;
-wire soc_sdram_bankmachine0_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine0_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine0_trascon_count = 3'd0;
-wire soc_sdram_bankmachine1_req_valid;
-wire soc_sdram_bankmachine1_req_ready;
-wire soc_sdram_bankmachine1_req_we;
-wire [21:0] soc_sdram_bankmachine1_req_addr;
-wire soc_sdram_bankmachine1_req_lock;
-reg soc_sdram_bankmachine1_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine1_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine1_refresh_req;
-reg soc_sdram_bankmachine1_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine1_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine1_cmd_ready = 1'd0;
-reg [14:0] soc_sdram_bankmachine1_cmd_payload_a = 15'd0;
-wire [2:0] soc_sdram_bankmachine1_cmd_payload_ba;
-reg soc_sdram_bankmachine1_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine1_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine1_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine1_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine1_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine1_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine1_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we;
-wire [21:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
-wire [24:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
-wire [24:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
-reg [4:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine1_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [24:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_we;
-wire [24:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr;
-wire [24:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [21:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [21:0] soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine1_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine1_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine1_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine1_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine1_cmd_buffer_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine1_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine1_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine1_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine1_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine1_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine1_cmd_buffer_source_payload_we = 1'd0;
-reg [21:0] soc_sdram_bankmachine1_cmd_buffer_source_payload_addr = 22'd0;
-reg [14:0] soc_sdram_bankmachine1_row = 15'd0;
-reg soc_sdram_bankmachine1_row_opened = 1'd0;
-wire soc_sdram_bankmachine1_row_hit;
-reg soc_sdram_bankmachine1_row_open = 1'd0;
-reg soc_sdram_bankmachine1_row_close = 1'd0;
-reg soc_sdram_bankmachine1_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine1_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine1_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine1_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine1_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine1_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine1_trccon_count = 3'd0;
-wire soc_sdram_bankmachine1_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine1_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine1_trascon_count = 3'd0;
-wire soc_sdram_bankmachine2_req_valid;
-wire soc_sdram_bankmachine2_req_ready;
-wire soc_sdram_bankmachine2_req_we;
-wire [21:0] soc_sdram_bankmachine2_req_addr;
-wire soc_sdram_bankmachine2_req_lock;
-reg soc_sdram_bankmachine2_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine2_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine2_refresh_req;
-reg soc_sdram_bankmachine2_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine2_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine2_cmd_ready = 1'd0;
-reg [14:0] soc_sdram_bankmachine2_cmd_payload_a = 15'd0;
-wire [2:0] soc_sdram_bankmachine2_cmd_payload_ba;
-reg soc_sdram_bankmachine2_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine2_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine2_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine2_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine2_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine2_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine2_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we;
-wire [21:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
-wire [24:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
-wire [24:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
-reg [4:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine2_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [24:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_we;
-wire [24:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr;
-wire [24:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [21:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [21:0] soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine2_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine2_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine2_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine2_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine2_cmd_buffer_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine2_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine2_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine2_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine2_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine2_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine2_cmd_buffer_source_payload_we = 1'd0;
-reg [21:0] soc_sdram_bankmachine2_cmd_buffer_source_payload_addr = 22'd0;
-reg [14:0] soc_sdram_bankmachine2_row = 15'd0;
-reg soc_sdram_bankmachine2_row_opened = 1'd0;
-wire soc_sdram_bankmachine2_row_hit;
-reg soc_sdram_bankmachine2_row_open = 1'd0;
-reg soc_sdram_bankmachine2_row_close = 1'd0;
-reg soc_sdram_bankmachine2_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine2_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine2_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine2_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine2_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine2_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine2_trccon_count = 3'd0;
-wire soc_sdram_bankmachine2_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine2_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine2_trascon_count = 3'd0;
-wire soc_sdram_bankmachine3_req_valid;
-wire soc_sdram_bankmachine3_req_ready;
-wire soc_sdram_bankmachine3_req_we;
-wire [21:0] soc_sdram_bankmachine3_req_addr;
-wire soc_sdram_bankmachine3_req_lock;
-reg soc_sdram_bankmachine3_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine3_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine3_refresh_req;
-reg soc_sdram_bankmachine3_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine3_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine3_cmd_ready = 1'd0;
-reg [14:0] soc_sdram_bankmachine3_cmd_payload_a = 15'd0;
-wire [2:0] soc_sdram_bankmachine3_cmd_payload_ba;
-reg soc_sdram_bankmachine3_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine3_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine3_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine3_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine3_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine3_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine3_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we;
-wire [21:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
-wire [24:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
-wire [24:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
-reg [4:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine3_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [24:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_we;
-wire [24:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr;
-wire [24:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [21:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [21:0] soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine3_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine3_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine3_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine3_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine3_cmd_buffer_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine3_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine3_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine3_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine3_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine3_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine3_cmd_buffer_source_payload_we = 1'd0;
-reg [21:0] soc_sdram_bankmachine3_cmd_buffer_source_payload_addr = 22'd0;
-reg [14:0] soc_sdram_bankmachine3_row = 15'd0;
-reg soc_sdram_bankmachine3_row_opened = 1'd0;
-wire soc_sdram_bankmachine3_row_hit;
-reg soc_sdram_bankmachine3_row_open = 1'd0;
-reg soc_sdram_bankmachine3_row_close = 1'd0;
-reg soc_sdram_bankmachine3_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine3_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine3_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine3_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine3_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine3_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine3_trccon_count = 3'd0;
-wire soc_sdram_bankmachine3_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine3_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine3_trascon_count = 3'd0;
-wire soc_sdram_bankmachine4_req_valid;
-wire soc_sdram_bankmachine4_req_ready;
-wire soc_sdram_bankmachine4_req_we;
-wire [21:0] soc_sdram_bankmachine4_req_addr;
-wire soc_sdram_bankmachine4_req_lock;
-reg soc_sdram_bankmachine4_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine4_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine4_refresh_req;
-reg soc_sdram_bankmachine4_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine4_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine4_cmd_ready = 1'd0;
-reg [14:0] soc_sdram_bankmachine4_cmd_payload_a = 15'd0;
-wire [2:0] soc_sdram_bankmachine4_cmd_payload_ba;
-reg soc_sdram_bankmachine4_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine4_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine4_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine4_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine4_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine4_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine4_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we;
-wire [21:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
-wire [24:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
-wire [24:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
-reg [4:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine4_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [24:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_we;
-wire [24:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr;
-wire [24:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [21:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [21:0] soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine4_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine4_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine4_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine4_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine4_cmd_buffer_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine4_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine4_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine4_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine4_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine4_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine4_cmd_buffer_source_payload_we = 1'd0;
-reg [21:0] soc_sdram_bankmachine4_cmd_buffer_source_payload_addr = 22'd0;
-reg [14:0] soc_sdram_bankmachine4_row = 15'd0;
-reg soc_sdram_bankmachine4_row_opened = 1'd0;
-wire soc_sdram_bankmachine4_row_hit;
-reg soc_sdram_bankmachine4_row_open = 1'd0;
-reg soc_sdram_bankmachine4_row_close = 1'd0;
-reg soc_sdram_bankmachine4_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine4_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine4_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine4_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine4_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine4_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine4_trccon_count = 3'd0;
-wire soc_sdram_bankmachine4_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine4_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine4_trascon_count = 3'd0;
-wire soc_sdram_bankmachine5_req_valid;
-wire soc_sdram_bankmachine5_req_ready;
-wire soc_sdram_bankmachine5_req_we;
-wire [21:0] soc_sdram_bankmachine5_req_addr;
-wire soc_sdram_bankmachine5_req_lock;
-reg soc_sdram_bankmachine5_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine5_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine5_refresh_req;
-reg soc_sdram_bankmachine5_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine5_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine5_cmd_ready = 1'd0;
-reg [14:0] soc_sdram_bankmachine5_cmd_payload_a = 15'd0;
-wire [2:0] soc_sdram_bankmachine5_cmd_payload_ba;
-reg soc_sdram_bankmachine5_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine5_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine5_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine5_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine5_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine5_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine5_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we;
-wire [21:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
-wire [24:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
-wire [24:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
-reg [4:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine5_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [24:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_we;
-wire [24:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr;
-wire [24:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [21:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [21:0] soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine5_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine5_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine5_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine5_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine5_cmd_buffer_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine5_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine5_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine5_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine5_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine5_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine5_cmd_buffer_source_payload_we = 1'd0;
-reg [21:0] soc_sdram_bankmachine5_cmd_buffer_source_payload_addr = 22'd0;
-reg [14:0] soc_sdram_bankmachine5_row = 15'd0;
-reg soc_sdram_bankmachine5_row_opened = 1'd0;
-wire soc_sdram_bankmachine5_row_hit;
-reg soc_sdram_bankmachine5_row_open = 1'd0;
-reg soc_sdram_bankmachine5_row_close = 1'd0;
-reg soc_sdram_bankmachine5_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine5_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine5_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine5_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine5_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine5_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine5_trccon_count = 3'd0;
-wire soc_sdram_bankmachine5_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine5_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine5_trascon_count = 3'd0;
-wire soc_sdram_bankmachine6_req_valid;
-wire soc_sdram_bankmachine6_req_ready;
-wire soc_sdram_bankmachine6_req_we;
-wire [21:0] soc_sdram_bankmachine6_req_addr;
-wire soc_sdram_bankmachine6_req_lock;
-reg soc_sdram_bankmachine6_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine6_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine6_refresh_req;
-reg soc_sdram_bankmachine6_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine6_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine6_cmd_ready = 1'd0;
-reg [14:0] soc_sdram_bankmachine6_cmd_payload_a = 15'd0;
-wire [2:0] soc_sdram_bankmachine6_cmd_payload_ba;
-reg soc_sdram_bankmachine6_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine6_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine6_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine6_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine6_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine6_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine6_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we;
-wire [21:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
-wire [24:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
-wire [24:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
-reg [4:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine6_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [24:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_we;
-wire [24:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr;
-wire [24:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [21:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [21:0] soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine6_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine6_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine6_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine6_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine6_cmd_buffer_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine6_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine6_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine6_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine6_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine6_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine6_cmd_buffer_source_payload_we = 1'd0;
-reg [21:0] soc_sdram_bankmachine6_cmd_buffer_source_payload_addr = 22'd0;
-reg [14:0] soc_sdram_bankmachine6_row = 15'd0;
-reg soc_sdram_bankmachine6_row_opened = 1'd0;
-wire soc_sdram_bankmachine6_row_hit;
-reg soc_sdram_bankmachine6_row_open = 1'd0;
-reg soc_sdram_bankmachine6_row_close = 1'd0;
-reg soc_sdram_bankmachine6_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine6_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine6_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine6_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine6_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine6_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine6_trccon_count = 3'd0;
-wire soc_sdram_bankmachine6_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine6_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine6_trascon_count = 3'd0;
-wire soc_sdram_bankmachine7_req_valid;
-wire soc_sdram_bankmachine7_req_ready;
-wire soc_sdram_bankmachine7_req_we;
-wire [21:0] soc_sdram_bankmachine7_req_addr;
-wire soc_sdram_bankmachine7_req_lock;
-reg soc_sdram_bankmachine7_req_wdata_ready = 1'd0;
-reg soc_sdram_bankmachine7_req_rdata_valid = 1'd0;
-wire soc_sdram_bankmachine7_refresh_req;
-reg soc_sdram_bankmachine7_refresh_gnt = 1'd0;
-reg soc_sdram_bankmachine7_cmd_valid = 1'd0;
-reg soc_sdram_bankmachine7_cmd_ready = 1'd0;
-reg [14:0] soc_sdram_bankmachine7_cmd_payload_a = 15'd0;
-wire [2:0] soc_sdram_bankmachine7_cmd_payload_ba;
-reg soc_sdram_bankmachine7_cmd_payload_cas = 1'd0;
-reg soc_sdram_bankmachine7_cmd_payload_ras = 1'd0;
-reg soc_sdram_bankmachine7_cmd_payload_we = 1'd0;
-reg soc_sdram_bankmachine7_cmd_payload_is_cmd = 1'd0;
-reg soc_sdram_bankmachine7_cmd_payload_is_read = 1'd0;
-reg soc_sdram_bankmachine7_cmd_payload_is_write = 1'd0;
-reg soc_sdram_bankmachine7_auto_precharge = 1'd0;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_valid;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_ready;
-reg soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0;
-reg soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_source_valid;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_source_ready;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_source_first;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_source_last;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we;
-wire [21:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
-wire [24:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
-wire [24:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
-reg [4:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_level = 5'd0;
-reg soc_sdram_bankmachine7_cmd_buffer_lookahead_replace = 1'd0;
-reg [3:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_produce = 4'd0;
-reg [3:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_consume = 4'd0;
-reg [3:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0;
-wire [24:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_r;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_we;
-wire [24:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_do_read;
-wire [3:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr;
-wire [24:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we;
-wire [21:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
-wire [21:0] soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
-wire soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
-wire soc_sdram_bankmachine7_cmd_buffer_sink_valid;
-wire soc_sdram_bankmachine7_cmd_buffer_sink_ready;
-wire soc_sdram_bankmachine7_cmd_buffer_sink_first;
-wire soc_sdram_bankmachine7_cmd_buffer_sink_last;
-wire soc_sdram_bankmachine7_cmd_buffer_sink_payload_we;
-wire [21:0] soc_sdram_bankmachine7_cmd_buffer_sink_payload_addr;
-reg soc_sdram_bankmachine7_cmd_buffer_source_valid = 1'd0;
-wire soc_sdram_bankmachine7_cmd_buffer_source_ready;
-reg soc_sdram_bankmachine7_cmd_buffer_source_first = 1'd0;
-reg soc_sdram_bankmachine7_cmd_buffer_source_last = 1'd0;
-reg soc_sdram_bankmachine7_cmd_buffer_source_payload_we = 1'd0;
-reg [21:0] soc_sdram_bankmachine7_cmd_buffer_source_payload_addr = 22'd0;
-reg [14:0] soc_sdram_bankmachine7_row = 15'd0;
-reg soc_sdram_bankmachine7_row_opened = 1'd0;
-wire soc_sdram_bankmachine7_row_hit;
-reg soc_sdram_bankmachine7_row_open = 1'd0;
-reg soc_sdram_bankmachine7_row_close = 1'd0;
-reg soc_sdram_bankmachine7_row_col_n_addr_sel = 1'd0;
-wire soc_sdram_bankmachine7_twtpcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine7_twtpcon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine7_twtpcon_count = 3'd0;
-wire soc_sdram_bankmachine7_trccon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine7_trccon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine7_trccon_count = 3'd0;
-wire soc_sdram_bankmachine7_trascon_valid;
-(* dont_touch = "true" *) reg soc_sdram_bankmachine7_trascon_ready = 1'd1;
-reg [2:0] soc_sdram_bankmachine7_trascon_count = 3'd0;
-wire soc_sdram_ras_allowed;
-wire soc_sdram_cas_allowed;
-reg soc_sdram_choose_cmd_want_reads = 1'd0;
-reg soc_sdram_choose_cmd_want_writes = 1'd0;
-reg soc_sdram_choose_cmd_want_cmds = 1'd0;
-reg soc_sdram_choose_cmd_want_activates = 1'd0;
-wire soc_sdram_choose_cmd_cmd_valid;
-reg soc_sdram_choose_cmd_cmd_ready = 1'd0;
-wire [14:0] soc_sdram_choose_cmd_cmd_payload_a;
-wire [2:0] soc_sdram_choose_cmd_cmd_payload_ba;
-reg soc_sdram_choose_cmd_cmd_payload_cas = 1'd0;
-reg soc_sdram_choose_cmd_cmd_payload_ras = 1'd0;
-reg soc_sdram_choose_cmd_cmd_payload_we = 1'd0;
-wire soc_sdram_choose_cmd_cmd_payload_is_cmd;
-wire soc_sdram_choose_cmd_cmd_payload_is_read;
-wire soc_sdram_choose_cmd_cmd_payload_is_write;
-reg [7:0] soc_sdram_choose_cmd_valids = 8'd0;
-wire [7:0] soc_sdram_choose_cmd_request;
-reg [2:0] soc_sdram_choose_cmd_grant = 3'd0;
-wire soc_sdram_choose_cmd_ce;
-reg soc_sdram_choose_req_want_reads = 1'd0;
-reg soc_sdram_choose_req_want_writes = 1'd0;
-reg soc_sdram_choose_req_want_cmds = 1'd0;
-reg soc_sdram_choose_req_want_activates = 1'd0;
-wire soc_sdram_choose_req_cmd_valid;
-reg soc_sdram_choose_req_cmd_ready = 1'd0;
-wire [14:0] soc_sdram_choose_req_cmd_payload_a;
-wire [2:0] soc_sdram_choose_req_cmd_payload_ba;
-reg soc_sdram_choose_req_cmd_payload_cas = 1'd0;
-reg soc_sdram_choose_req_cmd_payload_ras = 1'd0;
-reg soc_sdram_choose_req_cmd_payload_we = 1'd0;
-wire soc_sdram_choose_req_cmd_payload_is_cmd;
-wire soc_sdram_choose_req_cmd_payload_is_read;
-wire soc_sdram_choose_req_cmd_payload_is_write;
-reg [7:0] soc_sdram_choose_req_valids = 8'd0;
-wire [7:0] soc_sdram_choose_req_request;
-reg [2:0] soc_sdram_choose_req_grant = 3'd0;
-wire soc_sdram_choose_req_ce;
-reg [14:0] soc_sdram_nop_a = 15'd0;
-reg [2:0] soc_sdram_nop_ba = 3'd0;
-reg [1:0] soc_sdram_steerer_sel0 = 2'd0;
-reg [1:0] soc_sdram_steerer_sel1 = 2'd0;
-reg [1:0] soc_sdram_steerer_sel2 = 2'd0;
-reg [1:0] soc_sdram_steerer_sel3 = 2'd0;
-reg soc_sdram_steerer0 = 1'd1;
-reg soc_sdram_steerer1 = 1'd1;
-reg soc_sdram_steerer2 = 1'd1;
-reg soc_sdram_steerer3 = 1'd1;
-reg soc_sdram_steerer4 = 1'd1;
-reg soc_sdram_steerer5 = 1'd1;
-reg soc_sdram_steerer6 = 1'd1;
-reg soc_sdram_steerer7 = 1'd1;
-wire soc_sdram_trrdcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_trrdcon_ready = 1'd1;
-reg soc_sdram_trrdcon_count = 1'd0;
-wire soc_sdram_tfawcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_tfawcon_ready = 1'd1;
-wire [2:0] soc_sdram_tfawcon_count;
-reg [4:0] soc_sdram_tfawcon_window = 5'd0;
-wire soc_sdram_tccdcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_tccdcon_ready = 1'd1;
-reg soc_sdram_tccdcon_count = 1'd0;
-wire soc_sdram_twtrcon_valid;
-(* dont_touch = "true" *) reg soc_sdram_twtrcon_ready = 1'd1;
-reg [2:0] soc_sdram_twtrcon_count = 3'd0;
-wire soc_sdram_read_available;
-wire soc_sdram_write_available;
-reg soc_sdram_en0 = 1'd0;
-wire soc_sdram_max_time0;
-reg [4:0] soc_sdram_time0 = 5'd0;
-reg soc_sdram_en1 = 1'd0;
-wire soc_sdram_max_time1;
-reg [3:0] soc_sdram_time1 = 4'd0;
-wire soc_sdram_go_to_refresh;
-reg soc_port_cmd_valid = 1'd0;
-wire soc_port_cmd_ready;
-reg soc_port_cmd_payload_we = 1'd0;
-reg [24:0] soc_port_cmd_payload_addr = 25'd0;
-wire soc_port_wdata_valid;
-wire soc_port_wdata_ready;
-wire soc_port_wdata_first;
-wire soc_port_wdata_last;
-wire [127:0] soc_port_wdata_payload_data;
-wire [15:0] soc_port_wdata_payload_we;
-wire soc_port_rdata_valid;
-wire soc_port_rdata_ready;
-reg soc_port_rdata_first = 1'd0;
-reg soc_port_rdata_last = 1'd0;
-wire [127:0] soc_port_rdata_payload_data;
-wire [29:0] soc_wb_sdram_adr;
-wire [31:0] soc_wb_sdram_dat_w;
-reg [31:0] soc_wb_sdram_dat_r = 32'd0;
-wire [3:0] soc_wb_sdram_sel;
-wire soc_wb_sdram_cyc;
-wire soc_wb_sdram_stb;
-reg soc_wb_sdram_ack = 1'd0;
-wire soc_wb_sdram_we;
-wire [2:0] soc_wb_sdram_cti;
-wire [1:0] soc_wb_sdram_bte;
-reg soc_wb_sdram_err = 1'd0;
-wire [29:0] soc_litedram_wb_adr;
-reg [127:0] soc_litedram_wb_dat_w = 128'd0;
-wire [127:0] soc_litedram_wb_dat_r;
-reg [15:0] soc_litedram_wb_sel = 16'd0;
-reg soc_litedram_wb_cyc = 1'd0;
-reg soc_litedram_wb_stb = 1'd0;
-reg soc_litedram_wb_ack = 1'd0;
-reg soc_litedram_wb_we = 1'd0;
-wire [2:0] soc_litedram_wb_cti;
-reg soc_write = 1'd0;
-reg soc_evict = 1'd0;
-reg soc_refill = 1'd0;
-reg soc_read = 1'd0;
-wire [29:0] soc_address_d;
-reg [29:0] soc_address_q = 30'd0;
-reg soc_address_ce = 1'd0;
-reg soc_address_reset = 1'd0;
-reg [1:0] soc_counter = 2'd0;
-reg soc_counter_ce = 1'd0;
-reg soc_counter_reset = 1'd0;
-wire [1:0] soc_counter_offset;
-wire soc_counter_done;
-wire [127:0] soc_cached_data;
-wire [15:0] soc_cached_sel;
-wire soc_end_of_burst;
-wire soc_need_refill_d;
-reg soc_need_refill_q = 1'd1;
-reg soc_need_refill_ce = 1'd0;
-wire soc_need_refill_reset;
-reg [31:0] soc_cached_datas_flipflop0_d = 32'd0;
-reg [31:0] soc_cached_datas_flipflop0_q = 32'd0;
-reg soc_cached_datas_ce0 = 1'd0;
-reg soc_cached_datas_reset0 = 1'd0;
-reg [31:0] soc_cached_datas_flipflop1_d = 32'd0;
-reg [31:0] soc_cached_datas_flipflop1_q = 32'd0;
-reg soc_cached_datas_ce1 = 1'd0;
-reg soc_cached_datas_reset1 = 1'd0;
-reg [31:0] soc_cached_datas_flipflop2_d = 32'd0;
-reg [31:0] soc_cached_datas_flipflop2_q = 32'd0;
-reg soc_cached_datas_ce2 = 1'd0;
-reg soc_cached_datas_reset2 = 1'd0;
-reg [31:0] soc_cached_datas_flipflop3_d = 32'd0;
-reg [31:0] soc_cached_datas_flipflop3_q = 32'd0;
-reg soc_cached_datas_ce3 = 1'd0;
-reg soc_cached_datas_reset3 = 1'd0;
-wire [3:0] soc_cached_sels_flipflop0_d;
-reg [3:0] soc_cached_sels_flipflop0_q = 4'd0;
-reg soc_cached_sels_ce0 = 1'd0;
-wire soc_cached_sels_reset0;
-wire [3:0] soc_cached_sels_flipflop1_d;
-reg [3:0] soc_cached_sels_flipflop1_q = 4'd0;
-reg soc_cached_sels_ce1 = 1'd0;
-wire soc_cached_sels_reset1;
-wire [3:0] soc_cached_sels_flipflop2_d;
-reg [3:0] soc_cached_sels_flipflop2_q = 4'd0;
-reg soc_cached_sels_ce2 = 1'd0;
-wire soc_cached_sels_reset2;
-wire [3:0] soc_cached_sels_flipflop3_d;
-reg [3:0] soc_cached_sels_flipflop3_q = 4'd0;
-reg soc_cached_sels_ce3 = 1'd0;
-wire soc_cached_sels_reset3;
-reg soc_write_sel0 = 1'd0;
-reg soc_write_sel1 = 1'd0;
-reg soc_write_sel2 = 1'd0;
-reg soc_write_sel3 = 1'd0;
-wire soc_wdata_converter_sink_valid;
-wire soc_wdata_converter_sink_ready;
-reg soc_wdata_converter_sink_first = 1'd0;
-reg soc_wdata_converter_sink_last = 1'd0;
-wire [127:0] soc_wdata_converter_sink_payload_data;
-wire [15:0] soc_wdata_converter_sink_payload_we;
-wire soc_wdata_converter_source_valid;
-wire soc_wdata_converter_source_ready;
-wire soc_wdata_converter_source_first;
-wire soc_wdata_converter_source_last;
-wire [127:0] soc_wdata_converter_source_payload_data;
-wire [15:0] soc_wdata_converter_source_payload_we;
-wire soc_wdata_converter_converter_sink_valid;
-wire soc_wdata_converter_converter_sink_ready;
-wire soc_wdata_converter_converter_sink_first;
-wire soc_wdata_converter_converter_sink_last;
-wire [143:0] soc_wdata_converter_converter_sink_payload_data;
-wire soc_wdata_converter_converter_source_valid;
-wire soc_wdata_converter_converter_source_ready;
-wire soc_wdata_converter_converter_source_first;
-wire soc_wdata_converter_converter_source_last;
-wire [143:0] soc_wdata_converter_converter_source_payload_data;
-wire soc_wdata_converter_converter_source_payload_valid_token_count;
-wire soc_wdata_converter_source_source_valid;
-wire soc_wdata_converter_source_source_ready;
-wire soc_wdata_converter_source_source_first;
-wire soc_wdata_converter_source_source_last;
-wire [143:0] soc_wdata_converter_source_source_payload_data;
-wire soc_rdata_converter_sink_valid;
-wire soc_rdata_converter_sink_ready;
-wire soc_rdata_converter_sink_first;
-wire soc_rdata_converter_sink_last;
-wire [127:0] soc_rdata_converter_sink_payload_data;
-wire soc_rdata_converter_source_valid;
-wire soc_rdata_converter_source_ready;
-wire soc_rdata_converter_source_first;
-wire soc_rdata_converter_source_last;
-wire [127:0] soc_rdata_converter_source_payload_data;
-wire soc_rdata_converter_converter_sink_valid;
-wire soc_rdata_converter_converter_sink_ready;
-wire soc_rdata_converter_converter_sink_first;
-wire soc_rdata_converter_converter_sink_last;
-wire [127:0] soc_rdata_converter_converter_sink_payload_data;
-wire soc_rdata_converter_converter_source_valid;
-wire soc_rdata_converter_converter_source_ready;
-wire soc_rdata_converter_converter_source_first;
-wire soc_rdata_converter_converter_source_last;
-wire [127:0] soc_rdata_converter_converter_source_payload_data;
-wire soc_rdata_converter_converter_source_payload_valid_token_count;
-wire soc_rdata_converter_source_source_valid;
-wire soc_rdata_converter_source_source_ready;
-wire soc_rdata_converter_source_source_first;
-wire soc_rdata_converter_source_source_last;
-wire [127:0] soc_rdata_converter_source_source_payload_data;
-reg soc_count = 1'd0;
-reg soc_init_done_storage = 1'd0;
-reg soc_init_done_re = 1'd0;
-reg soc_init_error_storage = 1'd0;
-reg soc_init_error_re = 1'd0;
-wire soc_cmd_valid;
-wire soc_cmd_ready;
-wire soc_cmd_payload_we;
-wire [24:0] soc_cmd_payload_addr;
-wire soc_wdata_valid;
-wire soc_wdata_ready;
-wire [127:0] soc_wdata_payload_data;
-wire [15:0] soc_wdata_payload_we;
-wire soc_rdata_valid;
-wire soc_rdata_ready;
-wire [127:0] soc_rdata_payload_data;
-reg vns_wb2csr_state = 1'd0;
-reg vns_wb2csr_next_state = 1'd0;
-wire vns_pll_fb0;
-wire vns_pll_fb1;
-reg [1:0] vns_refresher_state = 2'd0;
-reg [1:0] vns_refresher_next_state = 2'd0;
-reg [3:0] vns_bankmachine0_state = 4'd0;
-reg [3:0] vns_bankmachine0_next_state = 4'd0;
-reg [3:0] vns_bankmachine1_state = 4'd0;
-reg [3:0] vns_bankmachine1_next_state = 4'd0;
-reg [3:0] vns_bankmachine2_state = 4'd0;
-reg [3:0] vns_bankmachine2_next_state = 4'd0;
-reg [3:0] vns_bankmachine3_state = 4'd0;
-reg [3:0] vns_bankmachine3_next_state = 4'd0;
-reg [3:0] vns_bankmachine4_state = 4'd0;
-reg [3:0] vns_bankmachine4_next_state = 4'd0;
-reg [3:0] vns_bankmachine5_state = 4'd0;
-reg [3:0] vns_bankmachine5_next_state = 4'd0;
-reg [3:0] vns_bankmachine6_state = 4'd0;
-reg [3:0] vns_bankmachine6_next_state = 4'd0;
-reg [3:0] vns_bankmachine7_state = 4'd0;
-reg [3:0] vns_bankmachine7_next_state = 4'd0;
-reg [3:0] vns_multiplexer_state = 4'd0;
-reg [3:0] vns_multiplexer_next_state = 4'd0;
-wire [1:0] vns_roundrobin0_request;
-reg vns_roundrobin0_grant = 1'd0;
-wire vns_roundrobin0_ce;
-wire [1:0] vns_roundrobin1_request;
-reg vns_roundrobin1_grant = 1'd0;
-wire vns_roundrobin1_ce;
-wire [1:0] vns_roundrobin2_request;
-reg vns_roundrobin2_grant = 1'd0;
-wire vns_roundrobin2_ce;
-wire [1:0] vns_roundrobin3_request;
-reg vns_roundrobin3_grant = 1'd0;
-wire vns_roundrobin3_ce;
-wire [1:0] vns_roundrobin4_request;
-reg vns_roundrobin4_grant = 1'd0;
-wire vns_roundrobin4_ce;
-wire [1:0] vns_roundrobin5_request;
-reg vns_roundrobin5_grant = 1'd0;
-wire vns_roundrobin5_ce;
-wire [1:0] vns_roundrobin6_request;
-reg vns_roundrobin6_grant = 1'd0;
-wire vns_roundrobin6_ce;
-wire [1:0] vns_roundrobin7_request;
-reg vns_roundrobin7_grant = 1'd0;
-wire vns_roundrobin7_ce;
-reg vns_locked0 = 1'd0;
-reg vns_locked1 = 1'd0;
-reg vns_locked2 = 1'd0;
-reg vns_locked3 = 1'd0;
-reg vns_locked4 = 1'd0;
-reg vns_locked5 = 1'd0;
-reg vns_locked6 = 1'd0;
-reg vns_locked7 = 1'd0;
-reg vns_locked8 = 1'd0;
-reg vns_locked9 = 1'd0;
-reg vns_locked10 = 1'd0;
-reg vns_locked11 = 1'd0;
-reg vns_locked12 = 1'd0;
-reg vns_locked13 = 1'd0;
-reg vns_locked14 = 1'd0;
-reg vns_locked15 = 1'd0;
-reg vns_new_master_wdata_ready0 = 1'd0;
-reg vns_new_master_wdata_ready1 = 1'd0;
-reg vns_new_master_wdata_ready2 = 1'd0;
-reg vns_new_master_wdata_ready3 = 1'd0;
-reg vns_new_master_wdata_ready4 = 1'd0;
-reg vns_new_master_wdata_ready5 = 1'd0;
-reg vns_new_master_rdata_valid0 = 1'd0;
-reg vns_new_master_rdata_valid1 = 1'd0;
-reg vns_new_master_rdata_valid2 = 1'd0;
-reg vns_new_master_rdata_valid3 = 1'd0;
-reg vns_new_master_rdata_valid4 = 1'd0;
-reg vns_new_master_rdata_valid5 = 1'd0;
-reg vns_new_master_rdata_valid6 = 1'd0;
-reg vns_new_master_rdata_valid7 = 1'd0;
-reg vns_new_master_rdata_valid8 = 1'd0;
-reg vns_new_master_rdata_valid9 = 1'd0;
-reg vns_new_master_rdata_valid10 = 1'd0;
-reg vns_new_master_rdata_valid11 = 1'd0;
-reg vns_new_master_rdata_valid12 = 1'd0;
-reg vns_new_master_rdata_valid13 = 1'd0;
-reg vns_new_master_rdata_valid14 = 1'd0;
-reg vns_new_master_rdata_valid15 = 1'd0;
-reg vns_new_master_rdata_valid16 = 1'd0;
-reg vns_new_master_rdata_valid17 = 1'd0;
-reg [2:0] vns_converter_state = 3'd0;
-reg [2:0] vns_converter_next_state = 3'd0;
-reg [1:0] vns_litedramwishbone2native_state = 2'd0;
-reg [1:0] vns_litedramwishbone2native_next_state = 2'd0;
-reg soc_count_next_value = 1'd0;
-reg soc_count_next_value_ce = 1'd0;
-wire [29:0] vns_shared_adr;
-wire [31:0] vns_shared_dat_w;
-reg [31:0] vns_shared_dat_r = 32'd0;
-wire [3:0] vns_shared_sel;
-wire vns_shared_cyc;
-wire vns_shared_stb;
-reg vns_shared_ack = 1'd0;
-wire vns_shared_we;
-wire [2:0] vns_shared_cti;
-wire [1:0] vns_shared_bte;
-wire vns_shared_err;
-wire [1:0] vns_request;
-reg vns_grant = 1'd0;
-reg [3:0] vns_slave_sel = 4'd0;
-reg [3:0] vns_slave_sel_r = 4'd0;
-reg vns_error = 1'd0;
-wire vns_wait;
-wire vns_done;
-reg [19:0] vns_count = 20'd1000000;
-wire [13:0] vns_interface0_bank_bus_adr;
-wire vns_interface0_bank_bus_we;
-wire [7:0] vns_interface0_bank_bus_dat_w;
-reg [7:0] vns_interface0_bank_bus_dat_r = 8'd0;
-wire vns_csrbank0_reset0_re;
-wire vns_csrbank0_reset0_r;
-wire vns_csrbank0_reset0_we;
-wire vns_csrbank0_reset0_w;
-wire vns_csrbank0_scratch3_re;
-wire [7:0] vns_csrbank0_scratch3_r;
-wire vns_csrbank0_scratch3_we;
-wire [7:0] vns_csrbank0_scratch3_w;
-wire vns_csrbank0_scratch2_re;
-wire [7:0] vns_csrbank0_scratch2_r;
-wire vns_csrbank0_scratch2_we;
-wire [7:0] vns_csrbank0_scratch2_w;
-wire vns_csrbank0_scratch1_re;
-wire [7:0] vns_csrbank0_scratch1_r;
-wire vns_csrbank0_scratch1_we;
-wire [7:0] vns_csrbank0_scratch1_w;
-wire vns_csrbank0_scratch0_re;
-wire [7:0] vns_csrbank0_scratch0_r;
-wire vns_csrbank0_scratch0_we;
-wire [7:0] vns_csrbank0_scratch0_w;
-wire vns_csrbank0_bus_errors3_re;
-wire [7:0] vns_csrbank0_bus_errors3_r;
-wire vns_csrbank0_bus_errors3_we;
-wire [7:0] vns_csrbank0_bus_errors3_w;
-wire vns_csrbank0_bus_errors2_re;
-wire [7:0] vns_csrbank0_bus_errors2_r;
-wire vns_csrbank0_bus_errors2_we;
-wire [7:0] vns_csrbank0_bus_errors2_w;
-wire vns_csrbank0_bus_errors1_re;
-wire [7:0] vns_csrbank0_bus_errors1_r;
-wire vns_csrbank0_bus_errors1_we;
-wire [7:0] vns_csrbank0_bus_errors1_w;
-wire vns_csrbank0_bus_errors0_re;
-wire [7:0] vns_csrbank0_bus_errors0_r;
-wire vns_csrbank0_bus_errors0_we;
-wire [7:0] vns_csrbank0_bus_errors0_w;
-wire vns_csrbank0_sel;
-wire [13:0] vns_interface1_bank_bus_adr;
-wire vns_interface1_bank_bus_we;
-wire [7:0] vns_interface1_bank_bus_dat_w;
-reg [7:0] vns_interface1_bank_bus_dat_r = 8'd0;
-wire vns_csrbank1_init_done0_re;
-wire vns_csrbank1_init_done0_r;
-wire vns_csrbank1_init_done0_we;
-wire vns_csrbank1_init_done0_w;
-wire vns_csrbank1_init_error0_re;
-wire vns_csrbank1_init_error0_r;
-wire vns_csrbank1_init_error0_we;
-wire vns_csrbank1_init_error0_w;
-wire vns_csrbank1_sel;
-wire [13:0] vns_interface2_bank_bus_adr;
-wire vns_interface2_bank_bus_we;
-wire [7:0] vns_interface2_bank_bus_dat_w;
-reg [7:0] vns_interface2_bank_bus_dat_r = 8'd0;
-wire vns_csrbank2_half_sys8x_taps0_re;
-wire [4:0] vns_csrbank2_half_sys8x_taps0_r;
-wire vns_csrbank2_half_sys8x_taps0_we;
-wire [4:0] vns_csrbank2_half_sys8x_taps0_w;
-wire vns_csrbank2_wlevel_en0_re;
-wire vns_csrbank2_wlevel_en0_r;
-wire vns_csrbank2_wlevel_en0_we;
-wire vns_csrbank2_wlevel_en0_w;
-wire vns_csrbank2_dly_sel0_re;
-wire [1:0] vns_csrbank2_dly_sel0_r;
-wire vns_csrbank2_dly_sel0_we;
-wire [1:0] vns_csrbank2_dly_sel0_w;
-wire vns_csrbank2_sel;
-wire [13:0] vns_interface3_bank_bus_adr;
-wire vns_interface3_bank_bus_we;
-wire [7:0] vns_interface3_bank_bus_dat_w;
-reg [7:0] vns_interface3_bank_bus_dat_r = 8'd0;
-wire vns_csrbank3_dfii_control0_re;
-wire [3:0] vns_csrbank3_dfii_control0_r;
-wire vns_csrbank3_dfii_control0_we;
-wire [3:0] vns_csrbank3_dfii_control0_w;
-wire vns_csrbank3_dfii_pi0_command0_re;
-wire [5:0] vns_csrbank3_dfii_pi0_command0_r;
-wire vns_csrbank3_dfii_pi0_command0_we;
-wire [5:0] vns_csrbank3_dfii_pi0_command0_w;
-wire vns_csrbank3_dfii_pi0_address1_re;
-wire [6:0] vns_csrbank3_dfii_pi0_address1_r;
-wire vns_csrbank3_dfii_pi0_address1_we;
-wire [6:0] vns_csrbank3_dfii_pi0_address1_w;
-wire vns_csrbank3_dfii_pi0_address0_re;
-wire [7:0] vns_csrbank3_dfii_pi0_address0_r;
-wire vns_csrbank3_dfii_pi0_address0_we;
-wire [7:0] vns_csrbank3_dfii_pi0_address0_w;
-wire vns_csrbank3_dfii_pi0_baddress0_re;
-wire [2:0] vns_csrbank3_dfii_pi0_baddress0_r;
-wire vns_csrbank3_dfii_pi0_baddress0_we;
-wire [2:0] vns_csrbank3_dfii_pi0_baddress0_w;
-wire vns_csrbank3_dfii_pi0_wrdata3_re;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata3_r;
-wire vns_csrbank3_dfii_pi0_wrdata3_we;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata3_w;
-wire vns_csrbank3_dfii_pi0_wrdata2_re;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata2_r;
-wire vns_csrbank3_dfii_pi0_wrdata2_we;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata2_w;
-wire vns_csrbank3_dfii_pi0_wrdata1_re;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata1_r;
-wire vns_csrbank3_dfii_pi0_wrdata1_we;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata1_w;
-wire vns_csrbank3_dfii_pi0_wrdata0_re;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata0_r;
-wire vns_csrbank3_dfii_pi0_wrdata0_we;
-wire [7:0] vns_csrbank3_dfii_pi0_wrdata0_w;
-wire vns_csrbank3_dfii_pi0_rddata3_re;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata3_r;
-wire vns_csrbank3_dfii_pi0_rddata3_we;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata3_w;
-wire vns_csrbank3_dfii_pi0_rddata2_re;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata2_r;
-wire vns_csrbank3_dfii_pi0_rddata2_we;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata2_w;
-wire vns_csrbank3_dfii_pi0_rddata1_re;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata1_r;
-wire vns_csrbank3_dfii_pi0_rddata1_we;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata1_w;
-wire vns_csrbank3_dfii_pi0_rddata0_re;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata0_r;
-wire vns_csrbank3_dfii_pi0_rddata0_we;
-wire [7:0] vns_csrbank3_dfii_pi0_rddata0_w;
-wire vns_csrbank3_dfii_pi1_command0_re;
-wire [5:0] vns_csrbank3_dfii_pi1_command0_r;
-wire vns_csrbank3_dfii_pi1_command0_we;
-wire [5:0] vns_csrbank3_dfii_pi1_command0_w;
-wire vns_csrbank3_dfii_pi1_address1_re;
-wire [6:0] vns_csrbank3_dfii_pi1_address1_r;
-wire vns_csrbank3_dfii_pi1_address1_we;
-wire [6:0] vns_csrbank3_dfii_pi1_address1_w;
-wire vns_csrbank3_dfii_pi1_address0_re;
-wire [7:0] vns_csrbank3_dfii_pi1_address0_r;
-wire vns_csrbank3_dfii_pi1_address0_we;
-wire [7:0] vns_csrbank3_dfii_pi1_address0_w;
-wire vns_csrbank3_dfii_pi1_baddress0_re;
-wire [2:0] vns_csrbank3_dfii_pi1_baddress0_r;
-wire vns_csrbank3_dfii_pi1_baddress0_we;
-wire [2:0] vns_csrbank3_dfii_pi1_baddress0_w;
-wire vns_csrbank3_dfii_pi1_wrdata3_re;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata3_r;
-wire vns_csrbank3_dfii_pi1_wrdata3_we;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata3_w;
-wire vns_csrbank3_dfii_pi1_wrdata2_re;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata2_r;
-wire vns_csrbank3_dfii_pi1_wrdata2_we;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata2_w;
-wire vns_csrbank3_dfii_pi1_wrdata1_re;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata1_r;
-wire vns_csrbank3_dfii_pi1_wrdata1_we;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata1_w;
-wire vns_csrbank3_dfii_pi1_wrdata0_re;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata0_r;
-wire vns_csrbank3_dfii_pi1_wrdata0_we;
-wire [7:0] vns_csrbank3_dfii_pi1_wrdata0_w;
-wire vns_csrbank3_dfii_pi1_rddata3_re;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata3_r;
-wire vns_csrbank3_dfii_pi1_rddata3_we;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata3_w;
-wire vns_csrbank3_dfii_pi1_rddata2_re;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata2_r;
-wire vns_csrbank3_dfii_pi1_rddata2_we;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata2_w;
-wire vns_csrbank3_dfii_pi1_rddata1_re;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata1_r;
-wire vns_csrbank3_dfii_pi1_rddata1_we;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata1_w;
-wire vns_csrbank3_dfii_pi1_rddata0_re;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata0_r;
-wire vns_csrbank3_dfii_pi1_rddata0_we;
-wire [7:0] vns_csrbank3_dfii_pi1_rddata0_w;
-wire vns_csrbank3_dfii_pi2_command0_re;
-wire [5:0] vns_csrbank3_dfii_pi2_command0_r;
-wire vns_csrbank3_dfii_pi2_command0_we;
-wire [5:0] vns_csrbank3_dfii_pi2_command0_w;
-wire vns_csrbank3_dfii_pi2_address1_re;
-wire [6:0] vns_csrbank3_dfii_pi2_address1_r;
-wire vns_csrbank3_dfii_pi2_address1_we;
-wire [6:0] vns_csrbank3_dfii_pi2_address1_w;
-wire vns_csrbank3_dfii_pi2_address0_re;
-wire [7:0] vns_csrbank3_dfii_pi2_address0_r;
-wire vns_csrbank3_dfii_pi2_address0_we;
-wire [7:0] vns_csrbank3_dfii_pi2_address0_w;
-wire vns_csrbank3_dfii_pi2_baddress0_re;
-wire [2:0] vns_csrbank3_dfii_pi2_baddress0_r;
-wire vns_csrbank3_dfii_pi2_baddress0_we;
-wire [2:0] vns_csrbank3_dfii_pi2_baddress0_w;
-wire vns_csrbank3_dfii_pi2_wrdata3_re;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata3_r;
-wire vns_csrbank3_dfii_pi2_wrdata3_we;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata3_w;
-wire vns_csrbank3_dfii_pi2_wrdata2_re;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata2_r;
-wire vns_csrbank3_dfii_pi2_wrdata2_we;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata2_w;
-wire vns_csrbank3_dfii_pi2_wrdata1_re;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata1_r;
-wire vns_csrbank3_dfii_pi2_wrdata1_we;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata1_w;
-wire vns_csrbank3_dfii_pi2_wrdata0_re;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata0_r;
-wire vns_csrbank3_dfii_pi2_wrdata0_we;
-wire [7:0] vns_csrbank3_dfii_pi2_wrdata0_w;
-wire vns_csrbank3_dfii_pi2_rddata3_re;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata3_r;
-wire vns_csrbank3_dfii_pi2_rddata3_we;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata3_w;
-wire vns_csrbank3_dfii_pi2_rddata2_re;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata2_r;
-wire vns_csrbank3_dfii_pi2_rddata2_we;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata2_w;
-wire vns_csrbank3_dfii_pi2_rddata1_re;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata1_r;
-wire vns_csrbank3_dfii_pi2_rddata1_we;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata1_w;
-wire vns_csrbank3_dfii_pi2_rddata0_re;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata0_r;
-wire vns_csrbank3_dfii_pi2_rddata0_we;
-wire [7:0] vns_csrbank3_dfii_pi2_rddata0_w;
-wire vns_csrbank3_dfii_pi3_command0_re;
-wire [5:0] vns_csrbank3_dfii_pi3_command0_r;
-wire vns_csrbank3_dfii_pi3_command0_we;
-wire [5:0] vns_csrbank3_dfii_pi3_command0_w;
-wire vns_csrbank3_dfii_pi3_address1_re;
-wire [6:0] vns_csrbank3_dfii_pi3_address1_r;
-wire vns_csrbank3_dfii_pi3_address1_we;
-wire [6:0] vns_csrbank3_dfii_pi3_address1_w;
-wire vns_csrbank3_dfii_pi3_address0_re;
-wire [7:0] vns_csrbank3_dfii_pi3_address0_r;
-wire vns_csrbank3_dfii_pi3_address0_we;
-wire [7:0] vns_csrbank3_dfii_pi3_address0_w;
-wire vns_csrbank3_dfii_pi3_baddress0_re;
-wire [2:0] vns_csrbank3_dfii_pi3_baddress0_r;
-wire vns_csrbank3_dfii_pi3_baddress0_we;
-wire [2:0] vns_csrbank3_dfii_pi3_baddress0_w;
-wire vns_csrbank3_dfii_pi3_wrdata3_re;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata3_r;
-wire vns_csrbank3_dfii_pi3_wrdata3_we;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata3_w;
-wire vns_csrbank3_dfii_pi3_wrdata2_re;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata2_r;
-wire vns_csrbank3_dfii_pi3_wrdata2_we;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata2_w;
-wire vns_csrbank3_dfii_pi3_wrdata1_re;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata1_r;
-wire vns_csrbank3_dfii_pi3_wrdata1_we;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata1_w;
-wire vns_csrbank3_dfii_pi3_wrdata0_re;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata0_r;
-wire vns_csrbank3_dfii_pi3_wrdata0_we;
-wire [7:0] vns_csrbank3_dfii_pi3_wrdata0_w;
-wire vns_csrbank3_dfii_pi3_rddata3_re;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata3_r;
-wire vns_csrbank3_dfii_pi3_rddata3_we;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata3_w;
-wire vns_csrbank3_dfii_pi3_rddata2_re;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata2_r;
-wire vns_csrbank3_dfii_pi3_rddata2_we;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata2_w;
-wire vns_csrbank3_dfii_pi3_rddata1_re;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata1_r;
-wire vns_csrbank3_dfii_pi3_rddata1_we;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata1_w;
-wire vns_csrbank3_dfii_pi3_rddata0_re;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata0_r;
-wire vns_csrbank3_dfii_pi3_rddata0_we;
-wire [7:0] vns_csrbank3_dfii_pi3_rddata0_w;
-wire vns_csrbank3_sel;
-wire [13:0] vns_interface4_bank_bus_adr;
-wire vns_interface4_bank_bus_we;
-wire [7:0] vns_interface4_bank_bus_dat_w;
-reg [7:0] vns_interface4_bank_bus_dat_r = 8'd0;
-wire vns_csrbank4_load3_re;
-wire [7:0] vns_csrbank4_load3_r;
-wire vns_csrbank4_load3_we;
-wire [7:0] vns_csrbank4_load3_w;
-wire vns_csrbank4_load2_re;
-wire [7:0] vns_csrbank4_load2_r;
-wire vns_csrbank4_load2_we;
-wire [7:0] vns_csrbank4_load2_w;
-wire vns_csrbank4_load1_re;
-wire [7:0] vns_csrbank4_load1_r;
-wire vns_csrbank4_load1_we;
-wire [7:0] vns_csrbank4_load1_w;
-wire vns_csrbank4_load0_re;
-wire [7:0] vns_csrbank4_load0_r;
-wire vns_csrbank4_load0_we;
-wire [7:0] vns_csrbank4_load0_w;
-wire vns_csrbank4_reload3_re;
-wire [7:0] vns_csrbank4_reload3_r;
-wire vns_csrbank4_reload3_we;
-wire [7:0] vns_csrbank4_reload3_w;
-wire vns_csrbank4_reload2_re;
-wire [7:0] vns_csrbank4_reload2_r;
-wire vns_csrbank4_reload2_we;
-wire [7:0] vns_csrbank4_reload2_w;
-wire vns_csrbank4_reload1_re;
-wire [7:0] vns_csrbank4_reload1_r;
-wire vns_csrbank4_reload1_we;
-wire [7:0] vns_csrbank4_reload1_w;
-wire vns_csrbank4_reload0_re;
-wire [7:0] vns_csrbank4_reload0_r;
-wire vns_csrbank4_reload0_we;
-wire [7:0] vns_csrbank4_reload0_w;
-wire vns_csrbank4_en0_re;
-wire vns_csrbank4_en0_r;
-wire vns_csrbank4_en0_we;
-wire vns_csrbank4_en0_w;
-wire vns_csrbank4_update_value0_re;
-wire vns_csrbank4_update_value0_r;
-wire vns_csrbank4_update_value0_we;
-wire vns_csrbank4_update_value0_w;
-wire vns_csrbank4_value3_re;
-wire [7:0] vns_csrbank4_value3_r;
-wire vns_csrbank4_value3_we;
-wire [7:0] vns_csrbank4_value3_w;
-wire vns_csrbank4_value2_re;
-wire [7:0] vns_csrbank4_value2_r;
-wire vns_csrbank4_value2_we;
-wire [7:0] vns_csrbank4_value2_w;
-wire vns_csrbank4_value1_re;
-wire [7:0] vns_csrbank4_value1_r;
-wire vns_csrbank4_value1_we;
-wire [7:0] vns_csrbank4_value1_w;
-wire vns_csrbank4_value0_re;
-wire [7:0] vns_csrbank4_value0_r;
-wire vns_csrbank4_value0_we;
-wire [7:0] vns_csrbank4_value0_w;
-wire vns_csrbank4_ev_enable0_re;
-wire vns_csrbank4_ev_enable0_r;
-wire vns_csrbank4_ev_enable0_we;
-wire vns_csrbank4_ev_enable0_w;
-wire vns_csrbank4_sel;
-wire [13:0] vns_interface5_bank_bus_adr;
-wire vns_interface5_bank_bus_we;
-wire [7:0] vns_interface5_bank_bus_dat_w;
-reg [7:0] vns_interface5_bank_bus_dat_r = 8'd0;
-wire vns_csrbank5_txfull_re;
-wire vns_csrbank5_txfull_r;
-wire vns_csrbank5_txfull_we;
-wire vns_csrbank5_txfull_w;
-wire vns_csrbank5_rxempty_re;
-wire vns_csrbank5_rxempty_r;
-wire vns_csrbank5_rxempty_we;
-wire vns_csrbank5_rxempty_w;
-wire vns_csrbank5_ev_enable0_re;
-wire [1:0] vns_csrbank5_ev_enable0_r;
-wire vns_csrbank5_ev_enable0_we;
-wire [1:0] vns_csrbank5_ev_enable0_w;
-wire vns_csrbank5_sel;
-wire [13:0] vns_interface6_bank_bus_adr;
-wire vns_interface6_bank_bus_we;
-wire [7:0] vns_interface6_bank_bus_dat_w;
-reg [7:0] vns_interface6_bank_bus_dat_r = 8'd0;
-wire vns_csrbank6_tuning_word3_re;
-wire [7:0] vns_csrbank6_tuning_word3_r;
-wire vns_csrbank6_tuning_word3_we;
-wire [7:0] vns_csrbank6_tuning_word3_w;
-wire vns_csrbank6_tuning_word2_re;
-wire [7:0] vns_csrbank6_tuning_word2_r;
-wire vns_csrbank6_tuning_word2_we;
-wire [7:0] vns_csrbank6_tuning_word2_w;
-wire vns_csrbank6_tuning_word1_re;
-wire [7:0] vns_csrbank6_tuning_word1_r;
-wire vns_csrbank6_tuning_word1_we;
-wire [7:0] vns_csrbank6_tuning_word1_w;
-wire vns_csrbank6_tuning_word0_re;
-wire [7:0] vns_csrbank6_tuning_word0_r;
-wire vns_csrbank6_tuning_word0_we;
-wire [7:0] vns_csrbank6_tuning_word0_w;
-wire vns_csrbank6_sel;
-wire [13:0] vns_adr;
-wire vns_we;
-wire [7:0] vns_dat_w;
-wire [7:0] vns_dat_r;
-reg vns_rhs_array_muxed0 = 1'd0;
-reg [14:0] vns_rhs_array_muxed1 = 15'd0;
-reg [2:0] vns_rhs_array_muxed2 = 3'd0;
-reg vns_rhs_array_muxed3 = 1'd0;
-reg vns_rhs_array_muxed4 = 1'd0;
-reg vns_rhs_array_muxed5 = 1'd0;
-reg vns_t_array_muxed0 = 1'd0;
-reg vns_t_array_muxed1 = 1'd0;
-reg vns_t_array_muxed2 = 1'd0;
-reg vns_rhs_array_muxed6 = 1'd0;
-reg [14:0] vns_rhs_array_muxed7 = 15'd0;
-reg [2:0] vns_rhs_array_muxed8 = 3'd0;
-reg vns_rhs_array_muxed9 = 1'd0;
-reg vns_rhs_array_muxed10 = 1'd0;
-reg vns_rhs_array_muxed11 = 1'd0;
-reg vns_t_array_muxed3 = 1'd0;
-reg vns_t_array_muxed4 = 1'd0;
-reg vns_t_array_muxed5 = 1'd0;
-reg [21:0] vns_rhs_array_muxed12 = 22'd0;
-reg vns_rhs_array_muxed13 = 1'd0;
-reg vns_rhs_array_muxed14 = 1'd0;
-reg [21:0] vns_rhs_array_muxed15 = 22'd0;
-reg vns_rhs_array_muxed16 = 1'd0;
-reg vns_rhs_array_muxed17 = 1'd0;
-reg [21:0] vns_rhs_array_muxed18 = 22'd0;
-reg vns_rhs_array_muxed19 = 1'd0;
-reg vns_rhs_array_muxed20 = 1'd0;
-reg [21:0] vns_rhs_array_muxed21 = 22'd0;
-reg vns_rhs_array_muxed22 = 1'd0;
-reg vns_rhs_array_muxed23 = 1'd0;
-reg [21:0] vns_rhs_array_muxed24 = 22'd0;
-reg vns_rhs_array_muxed25 = 1'd0;
-reg vns_rhs_array_muxed26 = 1'd0;
-reg [21:0] vns_rhs_array_muxed27 = 22'd0;
-reg vns_rhs_array_muxed28 = 1'd0;
-reg vns_rhs_array_muxed29 = 1'd0;
-reg [21:0] vns_rhs_array_muxed30 = 22'd0;
-reg vns_rhs_array_muxed31 = 1'd0;
-reg vns_rhs_array_muxed32 = 1'd0;
-reg [21:0] vns_rhs_array_muxed33 = 22'd0;
-reg vns_rhs_array_muxed34 = 1'd0;
-reg vns_rhs_array_muxed35 = 1'd0;
-reg [29:0] vns_rhs_array_muxed36 = 30'd0;
-reg [31:0] vns_rhs_array_muxed37 = 32'd0;
-reg [3:0] vns_rhs_array_muxed38 = 4'd0;
-reg vns_rhs_array_muxed39 = 1'd0;
-reg vns_rhs_array_muxed40 = 1'd0;
-reg vns_rhs_array_muxed41 = 1'd0;
-reg [2:0] vns_rhs_array_muxed42 = 3'd0;
-reg [1:0] vns_rhs_array_muxed43 = 2'd0;
-reg [2:0] vns_array_muxed0 = 3'd0;
-reg [14:0] vns_array_muxed1 = 15'd0;
-reg vns_array_muxed2 = 1'd0;
-reg vns_array_muxed3 = 1'd0;
-reg vns_array_muxed4 = 1'd0;
-reg vns_array_muxed5 = 1'd0;
-reg vns_array_muxed6 = 1'd0;
-reg [2:0] vns_array_muxed7 = 3'd0;
-reg [14:0] vns_array_muxed8 = 15'd0;
-reg vns_array_muxed9 = 1'd0;
-reg vns_array_muxed10 = 1'd0;
-reg vns_array_muxed11 = 1'd0;
-reg vns_array_muxed12 = 1'd0;
-reg vns_array_muxed13 = 1'd0;
-reg [2:0] vns_array_muxed14 = 3'd0;
-reg [14:0] vns_array_muxed15 = 15'd0;
-reg vns_array_muxed16 = 1'd0;
-reg vns_array_muxed17 = 1'd0;
-reg vns_array_muxed18 = 1'd0;
-reg vns_array_muxed19 = 1'd0;
-reg vns_array_muxed20 = 1'd0;
-reg [2:0] vns_array_muxed21 = 3'd0;
-reg [14:0] vns_array_muxed22 = 15'd0;
-reg vns_array_muxed23 = 1'd0;
-reg vns_array_muxed24 = 1'd0;
-reg vns_array_muxed25 = 1'd0;
-reg vns_array_muxed26 = 1'd0;
-reg vns_array_muxed27 = 1'd0;
-(* async_reg = "true", mr_ff = "true", dont_touch = "true" *) reg vns_regs0 = 1'd0;
-(* async_reg = "true", dont_touch = "true" *) reg vns_regs1 = 1'd0;
-wire vns_xilinxasyncresetsynchronizerimpl0;
-wire vns_xilinxasyncresetsynchronizerimpl0_rst_meta;
-wire vns_xilinxasyncresetsynchronizerimpl1;
-wire vns_xilinxasyncresetsynchronizerimpl1_rst_meta;
-wire vns_xilinxasyncresetsynchronizerimpl1_expr;
-wire vns_xilinxasyncresetsynchronizerimpl2;
-wire vns_xilinxasyncresetsynchronizerimpl2_rst_meta;
-wire vns_xilinxasyncresetsynchronizerimpl2_expr;
-wire vns_xilinxasyncresetsynchronizerimpl3;
-wire vns_xilinxasyncresetsynchronizerimpl3_rst_meta;
+wire sys_pll_reset;
+wire sys_pll_locked;
+wire s7pll0_clkin;
+wire s7pll0_clkout0;
+wire s7pll0_clkout_buf0;
+wire s7pll0_clkout1;
+wire s7pll0_clkout_buf1;
+wire s7pll0_clkout2;
+wire s7pll0_clkout_buf2;
+wire iodelay_pll_reset;
+wire iodelay_pll_locked;
+wire s7pll1_clkin;
+wire s7pll1_clkout;
+wire s7pll1_clkout_buf;
+reg [3:0] reset_counter = 4'd15;
+reg ic_reset = 1'd1;
+reg [4:0] a7ddrphy_half_sys8x_taps_storage = 5'd8;
+reg a7ddrphy_half_sys8x_taps_re = 1'd0;
+reg a7ddrphy_wlevel_en_storage = 1'd0;
+reg a7ddrphy_wlevel_en_re = 1'd0;
+wire a7ddrphy_wlevel_strobe_re;
+wire a7ddrphy_wlevel_strobe_r;
+wire a7ddrphy_wlevel_strobe_we;
+reg a7ddrphy_wlevel_strobe_w = 1'd0;
+wire a7ddrphy_cdly_rst_re;
+wire a7ddrphy_cdly_rst_r;
+wire a7ddrphy_cdly_rst_we;
+reg a7ddrphy_cdly_rst_w = 1'd0;
+wire a7ddrphy_cdly_inc_re;
+wire a7ddrphy_cdly_inc_r;
+wire a7ddrphy_cdly_inc_we;
+reg a7ddrphy_cdly_inc_w = 1'd0;
+reg [1:0] a7ddrphy_dly_sel_storage = 2'd0;
+reg a7ddrphy_dly_sel_re = 1'd0;
+wire a7ddrphy_rdly_dq_rst_re;
+wire a7ddrphy_rdly_dq_rst_r;
+wire a7ddrphy_rdly_dq_rst_we;
+reg a7ddrphy_rdly_dq_rst_w = 1'd0;
+wire a7ddrphy_rdly_dq_inc_re;
+wire a7ddrphy_rdly_dq_inc_r;
+wire a7ddrphy_rdly_dq_inc_we;
+reg a7ddrphy_rdly_dq_inc_w = 1'd0;
+wire a7ddrphy_rdly_dq_bitslip_rst_re;
+wire a7ddrphy_rdly_dq_bitslip_rst_r;
+wire a7ddrphy_rdly_dq_bitslip_rst_we;
+reg a7ddrphy_rdly_dq_bitslip_rst_w = 1'd0;
+wire a7ddrphy_rdly_dq_bitslip_re;
+wire a7ddrphy_rdly_dq_bitslip_r;
+wire a7ddrphy_rdly_dq_bitslip_we;
+reg a7ddrphy_rdly_dq_bitslip_w = 1'd0;
+wire [14:0] a7ddrphy_dfi_p0_address;
+wire [2:0] a7ddrphy_dfi_p0_bank;
+wire a7ddrphy_dfi_p0_cas_n;
+wire a7ddrphy_dfi_p0_cs_n;
+wire a7ddrphy_dfi_p0_ras_n;
+wire a7ddrphy_dfi_p0_we_n;
+wire a7ddrphy_dfi_p0_cke;
+wire a7ddrphy_dfi_p0_odt;
+wire a7ddrphy_dfi_p0_reset_n;
+wire a7ddrphy_dfi_p0_act_n;
+wire [31:0] a7ddrphy_dfi_p0_wrdata;
+wire a7ddrphy_dfi_p0_wrdata_en;
+wire [3:0] a7ddrphy_dfi_p0_wrdata_mask;
+wire a7ddrphy_dfi_p0_rddata_en;
+reg [31:0] a7ddrphy_dfi_p0_rddata = 32'd0;
+reg a7ddrphy_dfi_p0_rddata_valid = 1'd0;
+wire [14:0] a7ddrphy_dfi_p1_address;
+wire [2:0] a7ddrphy_dfi_p1_bank;
+wire a7ddrphy_dfi_p1_cas_n;
+wire a7ddrphy_dfi_p1_cs_n;
+wire a7ddrphy_dfi_p1_ras_n;
+wire a7ddrphy_dfi_p1_we_n;
+wire a7ddrphy_dfi_p1_cke;
+wire a7ddrphy_dfi_p1_odt;
+wire a7ddrphy_dfi_p1_reset_n;
+wire a7ddrphy_dfi_p1_act_n;
+wire [31:0] a7ddrphy_dfi_p1_wrdata;
+wire a7ddrphy_dfi_p1_wrdata_en;
+wire [3:0] a7ddrphy_dfi_p1_wrdata_mask;
+wire a7ddrphy_dfi_p1_rddata_en;
+reg [31:0] a7ddrphy_dfi_p1_rddata = 32'd0;
+reg a7ddrphy_dfi_p1_rddata_valid = 1'd0;
+wire [14:0] a7ddrphy_dfi_p2_address;
+wire [2:0] a7ddrphy_dfi_p2_bank;
+wire a7ddrphy_dfi_p2_cas_n;
+wire a7ddrphy_dfi_p2_cs_n;
+wire a7ddrphy_dfi_p2_ras_n;
+wire a7ddrphy_dfi_p2_we_n;
+wire a7ddrphy_dfi_p2_cke;
+wire a7ddrphy_dfi_p2_odt;
+wire a7ddrphy_dfi_p2_reset_n;
+wire a7ddrphy_dfi_p2_act_n;
+wire [31:0] a7ddrphy_dfi_p2_wrdata;
+wire a7ddrphy_dfi_p2_wrdata_en;
+wire [3:0] a7ddrphy_dfi_p2_wrdata_mask;
+wire a7ddrphy_dfi_p2_rddata_en;
+reg [31:0] a7ddrphy_dfi_p2_rddata = 32'd0;
+reg a7ddrphy_dfi_p2_rddata_valid = 1'd0;
+wire [14:0] a7ddrphy_dfi_p3_address;
+wire [2:0] a7ddrphy_dfi_p3_bank;
+wire a7ddrphy_dfi_p3_cas_n;
+wire a7ddrphy_dfi_p3_cs_n;
+wire a7ddrphy_dfi_p3_ras_n;
+wire a7ddrphy_dfi_p3_we_n;
+wire a7ddrphy_dfi_p3_cke;
+wire a7ddrphy_dfi_p3_odt;
+wire a7ddrphy_dfi_p3_reset_n;
+wire a7ddrphy_dfi_p3_act_n;
+wire [31:0] a7ddrphy_dfi_p3_wrdata;
+wire a7ddrphy_dfi_p3_wrdata_en;
+wire [3:0] a7ddrphy_dfi_p3_wrdata_mask;
+wire a7ddrphy_dfi_p3_rddata_en;
+reg [31:0] a7ddrphy_dfi_p3_rddata = 32'd0;
+reg a7ddrphy_dfi_p3_rddata_valid = 1'd0;
+wire a7ddrphy_sd_clk_se_nodelay;
+reg a7ddrphy_dqs_oe = 1'd0;
+reg a7ddrphy_dqs_oe_delayed = 1'd0;
+wire a7ddrphy_dqspattern0;
+wire a7ddrphy_dqspattern1;
+reg [7:0] a7ddrphy_dqspattern_o0 = 8'd0;
+reg [7:0] a7ddrphy_dqspattern_o1 = 8'd0;
+wire [1:0] a7ddrphy_dqs_i;
+wire [1:0] a7ddrphy_dqs_i_delayed;
+wire a7ddrphy_dqs_o_no_delay0;
+wire a7ddrphy_dqs_t0;
+wire a7ddrphy0;
+wire a7ddrphy_dqs_o_no_delay1;
+wire a7ddrphy_dqs_t1;
+wire a7ddrphy1;
+wire a7ddrphy_dq_oe;
+reg a7ddrphy_dq_oe_delayed = 1'd0;
+wire a7ddrphy_dq_o_nodelay0;
+wire a7ddrphy_dq_i_nodelay0;
+wire a7ddrphy_dq_i_delayed0;
+wire a7ddrphy_dq_t0;
+wire [7:0] a7ddrphy_dq_i_data0;
+wire [7:0] a7ddrphy_bitslip0_i;
+reg [7:0] a7ddrphy_bitslip0_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip0_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip0_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay1;
+wire a7ddrphy_dq_i_nodelay1;
+wire a7ddrphy_dq_i_delayed1;
+wire a7ddrphy_dq_t1;
+wire [7:0] a7ddrphy_dq_i_data1;
+wire [7:0] a7ddrphy_bitslip1_i;
+reg [7:0] a7ddrphy_bitslip1_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip1_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip1_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay2;
+wire a7ddrphy_dq_i_nodelay2;
+wire a7ddrphy_dq_i_delayed2;
+wire a7ddrphy_dq_t2;
+wire [7:0] a7ddrphy_dq_i_data2;
+wire [7:0] a7ddrphy_bitslip2_i;
+reg [7:0] a7ddrphy_bitslip2_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip2_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip2_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay3;
+wire a7ddrphy_dq_i_nodelay3;
+wire a7ddrphy_dq_i_delayed3;
+wire a7ddrphy_dq_t3;
+wire [7:0] a7ddrphy_dq_i_data3;
+wire [7:0] a7ddrphy_bitslip3_i;
+reg [7:0] a7ddrphy_bitslip3_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip3_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip3_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay4;
+wire a7ddrphy_dq_i_nodelay4;
+wire a7ddrphy_dq_i_delayed4;
+wire a7ddrphy_dq_t4;
+wire [7:0] a7ddrphy_dq_i_data4;
+wire [7:0] a7ddrphy_bitslip4_i;
+reg [7:0] a7ddrphy_bitslip4_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip4_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip4_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay5;
+wire a7ddrphy_dq_i_nodelay5;
+wire a7ddrphy_dq_i_delayed5;
+wire a7ddrphy_dq_t5;
+wire [7:0] a7ddrphy_dq_i_data5;
+wire [7:0] a7ddrphy_bitslip5_i;
+reg [7:0] a7ddrphy_bitslip5_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip5_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip5_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay6;
+wire a7ddrphy_dq_i_nodelay6;
+wire a7ddrphy_dq_i_delayed6;
+wire a7ddrphy_dq_t6;
+wire [7:0] a7ddrphy_dq_i_data6;
+wire [7:0] a7ddrphy_bitslip6_i;
+reg [7:0] a7ddrphy_bitslip6_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip6_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip6_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay7;
+wire a7ddrphy_dq_i_nodelay7;
+wire a7ddrphy_dq_i_delayed7;
+wire a7ddrphy_dq_t7;
+wire [7:0] a7ddrphy_dq_i_data7;
+wire [7:0] a7ddrphy_bitslip7_i;
+reg [7:0] a7ddrphy_bitslip7_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip7_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip7_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay8;
+wire a7ddrphy_dq_i_nodelay8;
+wire a7ddrphy_dq_i_delayed8;
+wire a7ddrphy_dq_t8;
+wire [7:0] a7ddrphy_dq_i_data8;
+wire [7:0] a7ddrphy_bitslip8_i;
+reg [7:0] a7ddrphy_bitslip8_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip8_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip8_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay9;
+wire a7ddrphy_dq_i_nodelay9;
+wire a7ddrphy_dq_i_delayed9;
+wire a7ddrphy_dq_t9;
+wire [7:0] a7ddrphy_dq_i_data9;
+wire [7:0] a7ddrphy_bitslip9_i;
+reg [7:0] a7ddrphy_bitslip9_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip9_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip9_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay10;
+wire a7ddrphy_dq_i_nodelay10;
+wire a7ddrphy_dq_i_delayed10;
+wire a7ddrphy_dq_t10;
+wire [7:0] a7ddrphy_dq_i_data10;
+wire [7:0] a7ddrphy_bitslip10_i;
+reg [7:0] a7ddrphy_bitslip10_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip10_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip10_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay11;
+wire a7ddrphy_dq_i_nodelay11;
+wire a7ddrphy_dq_i_delayed11;
+wire a7ddrphy_dq_t11;
+wire [7:0] a7ddrphy_dq_i_data11;
+wire [7:0] a7ddrphy_bitslip11_i;
+reg [7:0] a7ddrphy_bitslip11_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip11_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip11_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay12;
+wire a7ddrphy_dq_i_nodelay12;
+wire a7ddrphy_dq_i_delayed12;
+wire a7ddrphy_dq_t12;
+wire [7:0] a7ddrphy_dq_i_data12;
+wire [7:0] a7ddrphy_bitslip12_i;
+reg [7:0] a7ddrphy_bitslip12_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip12_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip12_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay13;
+wire a7ddrphy_dq_i_nodelay13;
+wire a7ddrphy_dq_i_delayed13;
+wire a7ddrphy_dq_t13;
+wire [7:0] a7ddrphy_dq_i_data13;
+wire [7:0] a7ddrphy_bitslip13_i;
+reg [7:0] a7ddrphy_bitslip13_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip13_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip13_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay14;
+wire a7ddrphy_dq_i_nodelay14;
+wire a7ddrphy_dq_i_delayed14;
+wire a7ddrphy_dq_t14;
+wire [7:0] a7ddrphy_dq_i_data14;
+wire [7:0] a7ddrphy_bitslip14_i;
+reg [7:0] a7ddrphy_bitslip14_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip14_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip14_r = 16'd0;
+wire a7ddrphy_dq_o_nodelay15;
+wire a7ddrphy_dq_i_nodelay15;
+wire a7ddrphy_dq_i_delayed15;
+wire a7ddrphy_dq_t15;
+wire [7:0] a7ddrphy_dq_i_data15;
+wire [7:0] a7ddrphy_bitslip15_i;
+reg [7:0] a7ddrphy_bitslip15_o = 8'd0;
+reg [2:0] a7ddrphy_bitslip15_value = 3'd0;
+reg [15:0] a7ddrphy_bitslip15_r = 16'd0;
+wire [7:0] a7ddrphy_rddata_en;
+reg [7:0] a7ddrphy_rddata_en_last = 8'd0;
+wire [3:0] a7ddrphy_wrdata_en;
+reg [3:0] a7ddrphy_wrdata_en_last = 4'd0;
+wire [14:0] litedramcore_inti_p0_address;
+wire [2:0] litedramcore_inti_p0_bank;
+reg litedramcore_inti_p0_cas_n = 1'd1;
+reg litedramcore_inti_p0_cs_n = 1'd1;
+reg litedramcore_inti_p0_ras_n = 1'd1;
+reg litedramcore_inti_p0_we_n = 1'd1;
+wire litedramcore_inti_p0_cke;
+wire litedramcore_inti_p0_odt;
+wire litedramcore_inti_p0_reset_n;
+reg litedramcore_inti_p0_act_n = 1'd1;
+wire [31:0] litedramcore_inti_p0_wrdata;
+wire litedramcore_inti_p0_wrdata_en;
+wire [3:0] litedramcore_inti_p0_wrdata_mask;
+wire litedramcore_inti_p0_rddata_en;
+reg [31:0] litedramcore_inti_p0_rddata = 32'd0;
+reg litedramcore_inti_p0_rddata_valid = 1'd0;
+wire [14:0] litedramcore_inti_p1_address;
+wire [2:0] litedramcore_inti_p1_bank;
+reg litedramcore_inti_p1_cas_n = 1'd1;
+reg litedramcore_inti_p1_cs_n = 1'd1;
+reg litedramcore_inti_p1_ras_n = 1'd1;
+reg litedramcore_inti_p1_we_n = 1'd1;
+wire litedramcore_inti_p1_cke;
+wire litedramcore_inti_p1_odt;
+wire litedramcore_inti_p1_reset_n;
+reg litedramcore_inti_p1_act_n = 1'd1;
+wire [31:0] litedramcore_inti_p1_wrdata;
+wire litedramcore_inti_p1_wrdata_en;
+wire [3:0] litedramcore_inti_p1_wrdata_mask;
+wire litedramcore_inti_p1_rddata_en;
+reg [31:0] litedramcore_inti_p1_rddata = 32'd0;
+reg litedramcore_inti_p1_rddata_valid = 1'd0;
+wire [14:0] litedramcore_inti_p2_address;
+wire [2:0] litedramcore_inti_p2_bank;
+reg litedramcore_inti_p2_cas_n = 1'd1;
+reg litedramcore_inti_p2_cs_n = 1'd1;
+reg litedramcore_inti_p2_ras_n = 1'd1;
+reg litedramcore_inti_p2_we_n = 1'd1;
+wire litedramcore_inti_p2_cke;
+wire litedramcore_inti_p2_odt;
+wire litedramcore_inti_p2_reset_n;
+reg litedramcore_inti_p2_act_n = 1'd1;
+wire [31:0] litedramcore_inti_p2_wrdata;
+wire litedramcore_inti_p2_wrdata_en;
+wire [3:0] litedramcore_inti_p2_wrdata_mask;
+wire litedramcore_inti_p2_rddata_en;
+reg [31:0] litedramcore_inti_p2_rddata = 32'd0;
+reg litedramcore_inti_p2_rddata_valid = 1'd0;
+wire [14:0] litedramcore_inti_p3_address;
+wire [2:0] litedramcore_inti_p3_bank;
+reg litedramcore_inti_p3_cas_n = 1'd1;
+reg litedramcore_inti_p3_cs_n = 1'd1;
+reg litedramcore_inti_p3_ras_n = 1'd1;
+reg litedramcore_inti_p3_we_n = 1'd1;
+wire litedramcore_inti_p3_cke;
+wire litedramcore_inti_p3_odt;
+wire litedramcore_inti_p3_reset_n;
+reg litedramcore_inti_p3_act_n = 1'd1;
+wire [31:0] litedramcore_inti_p3_wrdata;
+wire litedramcore_inti_p3_wrdata_en;
+wire [3:0] litedramcore_inti_p3_wrdata_mask;
+wire litedramcore_inti_p3_rddata_en;
+reg [31:0] litedramcore_inti_p3_rddata = 32'd0;
+reg litedramcore_inti_p3_rddata_valid = 1'd0;
+wire [14:0] litedramcore_slave_p0_address;
+wire [2:0] litedramcore_slave_p0_bank;
+wire litedramcore_slave_p0_cas_n;
+wire litedramcore_slave_p0_cs_n;
+wire litedramcore_slave_p0_ras_n;
+wire litedramcore_slave_p0_we_n;
+wire litedramcore_slave_p0_cke;
+wire litedramcore_slave_p0_odt;
+wire litedramcore_slave_p0_reset_n;
+wire litedramcore_slave_p0_act_n;
+wire [31:0] litedramcore_slave_p0_wrdata;
+wire litedramcore_slave_p0_wrdata_en;
+wire [3:0] litedramcore_slave_p0_wrdata_mask;
+wire litedramcore_slave_p0_rddata_en;
+reg [31:0] litedramcore_slave_p0_rddata = 32'd0;
+reg litedramcore_slave_p0_rddata_valid = 1'd0;
+wire [14:0] litedramcore_slave_p1_address;
+wire [2:0] litedramcore_slave_p1_bank;
+wire litedramcore_slave_p1_cas_n;
+wire litedramcore_slave_p1_cs_n;
+wire litedramcore_slave_p1_ras_n;
+wire litedramcore_slave_p1_we_n;
+wire litedramcore_slave_p1_cke;
+wire litedramcore_slave_p1_odt;
+wire litedramcore_slave_p1_reset_n;
+wire litedramcore_slave_p1_act_n;
+wire [31:0] litedramcore_slave_p1_wrdata;
+wire litedramcore_slave_p1_wrdata_en;
+wire [3:0] litedramcore_slave_p1_wrdata_mask;
+wire litedramcore_slave_p1_rddata_en;
+reg [31:0] litedramcore_slave_p1_rddata = 32'd0;
+reg litedramcore_slave_p1_rddata_valid = 1'd0;
+wire [14:0] litedramcore_slave_p2_address;
+wire [2:0] litedramcore_slave_p2_bank;
+wire litedramcore_slave_p2_cas_n;
+wire litedramcore_slave_p2_cs_n;
+wire litedramcore_slave_p2_ras_n;
+wire litedramcore_slave_p2_we_n;
+wire litedramcore_slave_p2_cke;
+wire litedramcore_slave_p2_odt;
+wire litedramcore_slave_p2_reset_n;
+wire litedramcore_slave_p2_act_n;
+wire [31:0] litedramcore_slave_p2_wrdata;
+wire litedramcore_slave_p2_wrdata_en;
+wire [3:0] litedramcore_slave_p2_wrdata_mask;
+wire litedramcore_slave_p2_rddata_en;
+reg [31:0] litedramcore_slave_p2_rddata = 32'd0;
+reg litedramcore_slave_p2_rddata_valid = 1'd0;
+wire [14:0] litedramcore_slave_p3_address;
+wire [2:0] litedramcore_slave_p3_bank;
+wire litedramcore_slave_p3_cas_n;
+wire litedramcore_slave_p3_cs_n;
+wire litedramcore_slave_p3_ras_n;
+wire litedramcore_slave_p3_we_n;
+wire litedramcore_slave_p3_cke;
+wire litedramcore_slave_p3_odt;
+wire litedramcore_slave_p3_reset_n;
+wire litedramcore_slave_p3_act_n;
+wire [31:0] litedramcore_slave_p3_wrdata;
+wire litedramcore_slave_p3_wrdata_en;
+wire [3:0] litedramcore_slave_p3_wrdata_mask;
+wire litedramcore_slave_p3_rddata_en;
+reg [31:0] litedramcore_slave_p3_rddata = 32'd0;
+reg litedramcore_slave_p3_rddata_valid = 1'd0;
+reg [14:0] litedramcore_master_p0_address = 15'd0;
+reg [2:0] litedramcore_master_p0_bank = 3'd0;
+reg litedramcore_master_p0_cas_n = 1'd1;
+reg litedramcore_master_p0_cs_n = 1'd1;
+reg litedramcore_master_p0_ras_n = 1'd1;
+reg litedramcore_master_p0_we_n = 1'd1;
+reg litedramcore_master_p0_cke = 1'd0;
+reg litedramcore_master_p0_odt = 1'd0;
+reg litedramcore_master_p0_reset_n = 1'd0;
+reg litedramcore_master_p0_act_n = 1'd1;
+reg [31:0] litedramcore_master_p0_wrdata = 32'd0;
+reg litedramcore_master_p0_wrdata_en = 1'd0;
+reg [3:0] litedramcore_master_p0_wrdata_mask = 4'd0;
+reg litedramcore_master_p0_rddata_en = 1'd0;
+wire [31:0] litedramcore_master_p0_rddata;
+wire litedramcore_master_p0_rddata_valid;
+reg [14:0] litedramcore_master_p1_address = 15'd0;
+reg [2:0] litedramcore_master_p1_bank = 3'd0;
+reg litedramcore_master_p1_cas_n = 1'd1;
+reg litedramcore_master_p1_cs_n = 1'd1;
+reg litedramcore_master_p1_ras_n = 1'd1;
+reg litedramcore_master_p1_we_n = 1'd1;
+reg litedramcore_master_p1_cke = 1'd0;
+reg litedramcore_master_p1_odt = 1'd0;
+reg litedramcore_master_p1_reset_n = 1'd0;
+reg litedramcore_master_p1_act_n = 1'd1;
+reg [31:0] litedramcore_master_p1_wrdata = 32'd0;
+reg litedramcore_master_p1_wrdata_en = 1'd0;
+reg [3:0] litedramcore_master_p1_wrdata_mask = 4'd0;
+reg litedramcore_master_p1_rddata_en = 1'd0;
+wire [31:0] litedramcore_master_p1_rddata;
+wire litedramcore_master_p1_rddata_valid;
+reg [14:0] litedramcore_master_p2_address = 15'd0;
+reg [2:0] litedramcore_master_p2_bank = 3'd0;
+reg litedramcore_master_p2_cas_n = 1'd1;
+reg litedramcore_master_p2_cs_n = 1'd1;
+reg litedramcore_master_p2_ras_n = 1'd1;
+reg litedramcore_master_p2_we_n = 1'd1;
+reg litedramcore_master_p2_cke = 1'd0;
+reg litedramcore_master_p2_odt = 1'd0;
+reg litedramcore_master_p2_reset_n = 1'd0;
+reg litedramcore_master_p2_act_n = 1'd1;
+reg [31:0] litedramcore_master_p2_wrdata = 32'd0;
+reg litedramcore_master_p2_wrdata_en = 1'd0;
+reg [3:0] litedramcore_master_p2_wrdata_mask = 4'd0;
+reg litedramcore_master_p2_rddata_en = 1'd0;
+wire [31:0] litedramcore_master_p2_rddata;
+wire litedramcore_master_p2_rddata_valid;
+reg [14:0] litedramcore_master_p3_address = 15'd0;
+reg [2:0] litedramcore_master_p3_bank = 3'd0;
+reg litedramcore_master_p3_cas_n = 1'd1;
+reg litedramcore_master_p3_cs_n = 1'd1;
+reg litedramcore_master_p3_ras_n = 1'd1;
+reg litedramcore_master_p3_we_n = 1'd1;
+reg litedramcore_master_p3_cke = 1'd0;
+reg litedramcore_master_p3_odt = 1'd0;
+reg litedramcore_master_p3_reset_n = 1'd0;
+reg litedramcore_master_p3_act_n = 1'd1;
+reg [31:0] litedramcore_master_p3_wrdata = 32'd0;
+reg litedramcore_master_p3_wrdata_en = 1'd0;
+reg [3:0] litedramcore_master_p3_wrdata_mask = 4'd0;
+reg litedramcore_master_p3_rddata_en = 1'd0;
+wire [31:0] litedramcore_master_p3_rddata;
+wire litedramcore_master_p3_rddata_valid;
+reg [3:0] litedramcore_storage = 4'd0;
+reg litedramcore_re = 1'd0;
+reg [5:0] litedramcore_phaseinjector0_command_storage = 6'd0;
+reg litedramcore_phaseinjector0_command_re = 1'd0;
+wire litedramcore_phaseinjector0_command_issue_re;
+wire litedramcore_phaseinjector0_command_issue_r;
+wire litedramcore_phaseinjector0_command_issue_we;
+reg litedramcore_phaseinjector0_command_issue_w = 1'd0;
+reg [14:0] litedramcore_phaseinjector0_address_storage = 15'd0;
+reg litedramcore_phaseinjector0_address_re = 1'd0;
+reg [2:0] litedramcore_phaseinjector0_baddress_storage = 3'd0;
+reg litedramcore_phaseinjector0_baddress_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector0_wrdata_storage = 32'd0;
+reg litedramcore_phaseinjector0_wrdata_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector0_status = 32'd0;
+wire litedramcore_phaseinjector0_we;
+reg [5:0] litedramcore_phaseinjector1_command_storage = 6'd0;
+reg litedramcore_phaseinjector1_command_re = 1'd0;
+wire litedramcore_phaseinjector1_command_issue_re;
+wire litedramcore_phaseinjector1_command_issue_r;
+wire litedramcore_phaseinjector1_command_issue_we;
+reg litedramcore_phaseinjector1_command_issue_w = 1'd0;
+reg [14:0] litedramcore_phaseinjector1_address_storage = 15'd0;
+reg litedramcore_phaseinjector1_address_re = 1'd0;
+reg [2:0] litedramcore_phaseinjector1_baddress_storage = 3'd0;
+reg litedramcore_phaseinjector1_baddress_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector1_wrdata_storage = 32'd0;
+reg litedramcore_phaseinjector1_wrdata_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector1_status = 32'd0;
+wire litedramcore_phaseinjector1_we;
+reg [5:0] litedramcore_phaseinjector2_command_storage = 6'd0;
+reg litedramcore_phaseinjector2_command_re = 1'd0;
+wire litedramcore_phaseinjector2_command_issue_re;
+wire litedramcore_phaseinjector2_command_issue_r;
+wire litedramcore_phaseinjector2_command_issue_we;
+reg litedramcore_phaseinjector2_command_issue_w = 1'd0;
+reg [14:0] litedramcore_phaseinjector2_address_storage = 15'd0;
+reg litedramcore_phaseinjector2_address_re = 1'd0;
+reg [2:0] litedramcore_phaseinjector2_baddress_storage = 3'd0;
+reg litedramcore_phaseinjector2_baddress_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector2_wrdata_storage = 32'd0;
+reg litedramcore_phaseinjector2_wrdata_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector2_status = 32'd0;
+wire litedramcore_phaseinjector2_we;
+reg [5:0] litedramcore_phaseinjector3_command_storage = 6'd0;
+reg litedramcore_phaseinjector3_command_re = 1'd0;
+wire litedramcore_phaseinjector3_command_issue_re;
+wire litedramcore_phaseinjector3_command_issue_r;
+wire litedramcore_phaseinjector3_command_issue_we;
+reg litedramcore_phaseinjector3_command_issue_w = 1'd0;
+reg [14:0] litedramcore_phaseinjector3_address_storage = 15'd0;
+reg litedramcore_phaseinjector3_address_re = 1'd0;
+reg [2:0] litedramcore_phaseinjector3_baddress_storage = 3'd0;
+reg litedramcore_phaseinjector3_baddress_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector3_wrdata_storage = 32'd0;
+reg litedramcore_phaseinjector3_wrdata_re = 1'd0;
+reg [31:0] litedramcore_phaseinjector3_status = 32'd0;
+wire litedramcore_phaseinjector3_we;
+wire litedramcore_interface_bank0_valid;
+wire litedramcore_interface_bank0_ready;
+wire litedramcore_interface_bank0_we;
+wire [21:0] litedramcore_interface_bank0_addr;
+wire litedramcore_interface_bank0_lock;
+wire litedramcore_interface_bank0_wdata_ready;
+wire litedramcore_interface_bank0_rdata_valid;
+wire litedramcore_interface_bank1_valid;
+wire litedramcore_interface_bank1_ready;
+wire litedramcore_interface_bank1_we;
+wire [21:0] litedramcore_interface_bank1_addr;
+wire litedramcore_interface_bank1_lock;
+wire litedramcore_interface_bank1_wdata_ready;
+wire litedramcore_interface_bank1_rdata_valid;
+wire litedramcore_interface_bank2_valid;
+wire litedramcore_interface_bank2_ready;
+wire litedramcore_interface_bank2_we;
+wire [21:0] litedramcore_interface_bank2_addr;
+wire litedramcore_interface_bank2_lock;
+wire litedramcore_interface_bank2_wdata_ready;
+wire litedramcore_interface_bank2_rdata_valid;
+wire litedramcore_interface_bank3_valid;
+wire litedramcore_interface_bank3_ready;
+wire litedramcore_interface_bank3_we;
+wire [21:0] litedramcore_interface_bank3_addr;
+wire litedramcore_interface_bank3_lock;
+wire litedramcore_interface_bank3_wdata_ready;
+wire litedramcore_interface_bank3_rdata_valid;
+wire litedramcore_interface_bank4_valid;
+wire litedramcore_interface_bank4_ready;
+wire litedramcore_interface_bank4_we;
+wire [21:0] litedramcore_interface_bank4_addr;
+wire litedramcore_interface_bank4_lock;
+wire litedramcore_interface_bank4_wdata_ready;
+wire litedramcore_interface_bank4_rdata_valid;
+wire litedramcore_interface_bank5_valid;
+wire litedramcore_interface_bank5_ready;
+wire litedramcore_interface_bank5_we;
+wire [21:0] litedramcore_interface_bank5_addr;
+wire litedramcore_interface_bank5_lock;
+wire litedramcore_interface_bank5_wdata_ready;
+wire litedramcore_interface_bank5_rdata_valid;
+wire litedramcore_interface_bank6_valid;
+wire litedramcore_interface_bank6_ready;
+wire litedramcore_interface_bank6_we;
+wire [21:0] litedramcore_interface_bank6_addr;
+wire litedramcore_interface_bank6_lock;
+wire litedramcore_interface_bank6_wdata_ready;
+wire litedramcore_interface_bank6_rdata_valid;
+wire litedramcore_interface_bank7_valid;
+wire litedramcore_interface_bank7_ready;
+wire litedramcore_interface_bank7_we;
+wire [21:0] litedramcore_interface_bank7_addr;
+wire litedramcore_interface_bank7_lock;
+wire litedramcore_interface_bank7_wdata_ready;
+wire litedramcore_interface_bank7_rdata_valid;
+reg [127:0] litedramcore_interface_wdata = 128'd0;
+reg [15:0] litedramcore_interface_wdata_we = 16'd0;
+wire [127:0] litedramcore_interface_rdata;
+reg [14:0] litedramcore_dfi_p0_address = 15'd0;
+reg [2:0] litedramcore_dfi_p0_bank = 3'd0;
+reg litedramcore_dfi_p0_cas_n = 1'd1;
+reg litedramcore_dfi_p0_cs_n = 1'd1;
+reg litedramcore_dfi_p0_ras_n = 1'd1;
+reg litedramcore_dfi_p0_we_n = 1'd1;
+wire litedramcore_dfi_p0_cke;
+wire litedramcore_dfi_p0_odt;
+wire litedramcore_dfi_p0_reset_n;
+reg litedramcore_dfi_p0_act_n = 1'd1;
+wire [31:0] litedramcore_dfi_p0_wrdata;
+reg litedramcore_dfi_p0_wrdata_en = 1'd0;
+wire [3:0] litedramcore_dfi_p0_wrdata_mask;
+reg litedramcore_dfi_p0_rddata_en = 1'd0;
+wire [31:0] litedramcore_dfi_p0_rddata;
+wire litedramcore_dfi_p0_rddata_valid;
+reg [14:0] litedramcore_dfi_p1_address = 15'd0;
+reg [2:0] litedramcore_dfi_p1_bank = 3'd0;
+reg litedramcore_dfi_p1_cas_n = 1'd1;
+reg litedramcore_dfi_p1_cs_n = 1'd1;
+reg litedramcore_dfi_p1_ras_n = 1'd1;
+reg litedramcore_dfi_p1_we_n = 1'd1;
+wire litedramcore_dfi_p1_cke;
+wire litedramcore_dfi_p1_odt;
+wire litedramcore_dfi_p1_reset_n;
+reg litedramcore_dfi_p1_act_n = 1'd1;
+wire [31:0] litedramcore_dfi_p1_wrdata;
+reg litedramcore_dfi_p1_wrdata_en = 1'd0;
+wire [3:0] litedramcore_dfi_p1_wrdata_mask;
+reg litedramcore_dfi_p1_rddata_en = 1'd0;
+wire [31:0] litedramcore_dfi_p1_rddata;
+wire litedramcore_dfi_p1_rddata_valid;
+reg [14:0] litedramcore_dfi_p2_address = 15'd0;
+reg [2:0] litedramcore_dfi_p2_bank = 3'd0;
+reg litedramcore_dfi_p2_cas_n = 1'd1;
+reg litedramcore_dfi_p2_cs_n = 1'd1;
+reg litedramcore_dfi_p2_ras_n = 1'd1;
+reg litedramcore_dfi_p2_we_n = 1'd1;
+wire litedramcore_dfi_p2_cke;
+wire litedramcore_dfi_p2_odt;
+wire litedramcore_dfi_p2_reset_n;
+reg litedramcore_dfi_p2_act_n = 1'd1;
+wire [31:0] litedramcore_dfi_p2_wrdata;
+reg litedramcore_dfi_p2_wrdata_en = 1'd0;
+wire [3:0] litedramcore_dfi_p2_wrdata_mask;
+reg litedramcore_dfi_p2_rddata_en = 1'd0;
+wire [31:0] litedramcore_dfi_p2_rddata;
+wire litedramcore_dfi_p2_rddata_valid;
+reg [14:0] litedramcore_dfi_p3_address = 15'd0;
+reg [2:0] litedramcore_dfi_p3_bank = 3'd0;
+reg litedramcore_dfi_p3_cas_n = 1'd1;
+reg litedramcore_dfi_p3_cs_n = 1'd1;
+reg litedramcore_dfi_p3_ras_n = 1'd1;
+reg litedramcore_dfi_p3_we_n = 1'd1;
+wire litedramcore_dfi_p3_cke;
+wire litedramcore_dfi_p3_odt;
+wire litedramcore_dfi_p3_reset_n;
+reg litedramcore_dfi_p3_act_n = 1'd1;
+wire [31:0] litedramcore_dfi_p3_wrdata;
+reg litedramcore_dfi_p3_wrdata_en = 1'd0;
+wire [3:0] litedramcore_dfi_p3_wrdata_mask;
+reg litedramcore_dfi_p3_rddata_en = 1'd0;
+wire [31:0] litedramcore_dfi_p3_rddata;
+wire litedramcore_dfi_p3_rddata_valid;
+reg litedramcore_cmd_valid = 1'd0;
+reg litedramcore_cmd_ready = 1'd0;
+reg litedramcore_cmd_last = 1'd0;
+reg [14:0] litedramcore_cmd_payload_a = 15'd0;
+reg [2:0] litedramcore_cmd_payload_ba = 3'd0;
+reg litedramcore_cmd_payload_cas = 1'd0;
+reg litedramcore_cmd_payload_ras = 1'd0;
+reg litedramcore_cmd_payload_we = 1'd0;
+reg litedramcore_cmd_payload_is_read = 1'd0;
+reg litedramcore_cmd_payload_is_write = 1'd0;
+wire litedramcore_wants_refresh;
+wire litedramcore_wants_zqcs;
+wire litedramcore_timer_wait;
+wire litedramcore_timer_done0;
+wire [9:0] litedramcore_timer_count0;
+wire litedramcore_timer_done1;
+reg [9:0] litedramcore_timer_count1 = 10'd781;
+wire litedramcore_postponer_req_i;
+reg litedramcore_postponer_req_o = 1'd0;
+reg litedramcore_postponer_count = 1'd0;
+reg litedramcore_sequencer_start0 = 1'd0;
+wire litedramcore_sequencer_done0;
+wire litedramcore_sequencer_start1;
+reg litedramcore_sequencer_done1 = 1'd0;
+reg [5:0] litedramcore_sequencer_counter = 6'd0;
+reg litedramcore_sequencer_count = 1'd0;
+wire litedramcore_zqcs_timer_wait;
+wire litedramcore_zqcs_timer_done0;
+wire [26:0] litedramcore_zqcs_timer_count0;
+wire litedramcore_zqcs_timer_done1;
+reg [26:0] litedramcore_zqcs_timer_count1 = 27'd99999999;
+reg litedramcore_zqcs_executer_start = 1'd0;
+reg litedramcore_zqcs_executer_done = 1'd0;
+reg [4:0] litedramcore_zqcs_executer_counter = 5'd0;
+wire litedramcore_bankmachine0_req_valid;
+wire litedramcore_bankmachine0_req_ready;
+wire litedramcore_bankmachine0_req_we;
+wire [21:0] litedramcore_bankmachine0_req_addr;
+wire litedramcore_bankmachine0_req_lock;
+reg litedramcore_bankmachine0_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine0_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine0_refresh_req;
+reg litedramcore_bankmachine0_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine0_cmd_valid = 1'd0;
+reg litedramcore_bankmachine0_cmd_ready = 1'd0;
+reg [14:0] litedramcore_bankmachine0_cmd_payload_a = 15'd0;
+wire [2:0] litedramcore_bankmachine0_cmd_payload_ba;
+reg litedramcore_bankmachine0_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine0_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine0_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine0_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine0_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine0_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine0_auto_precharge = 1'd0;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
+wire [21:0] litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we;
+wire [21:0] litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
+wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
+wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
+reg [4:0] litedramcore_bankmachine0_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine0_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we;
+wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr;
+wire [24:0] litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [21:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [21:0] litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine0_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine0_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine0_cmd_buffer_sink_first;
+wire litedramcore_bankmachine0_cmd_buffer_sink_last;
+wire litedramcore_bankmachine0_cmd_buffer_sink_payload_we;
+wire [21:0] litedramcore_bankmachine0_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine0_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine0_cmd_buffer_source_ready;
+reg litedramcore_bankmachine0_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine0_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine0_cmd_buffer_source_payload_we = 1'd0;
+reg [21:0] litedramcore_bankmachine0_cmd_buffer_source_payload_addr = 22'd0;
+reg [14:0] litedramcore_bankmachine0_row = 15'd0;
+reg litedramcore_bankmachine0_row_opened = 1'd0;
+wire litedramcore_bankmachine0_row_hit;
+reg litedramcore_bankmachine0_row_open = 1'd0;
+reg litedramcore_bankmachine0_row_close = 1'd0;
+reg litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine0_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine0_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine0_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine0_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine0_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine0_trccon_count = 3'd0;
+wire litedramcore_bankmachine0_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine0_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine0_trascon_count = 3'd0;
+wire litedramcore_bankmachine1_req_valid;
+wire litedramcore_bankmachine1_req_ready;
+wire litedramcore_bankmachine1_req_we;
+wire [21:0] litedramcore_bankmachine1_req_addr;
+wire litedramcore_bankmachine1_req_lock;
+reg litedramcore_bankmachine1_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine1_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine1_refresh_req;
+reg litedramcore_bankmachine1_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine1_cmd_valid = 1'd0;
+reg litedramcore_bankmachine1_cmd_ready = 1'd0;
+reg [14:0] litedramcore_bankmachine1_cmd_payload_a = 15'd0;
+wire [2:0] litedramcore_bankmachine1_cmd_payload_ba;
+reg litedramcore_bankmachine1_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine1_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine1_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine1_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine1_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine1_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine1_auto_precharge = 1'd0;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
+wire [21:0] litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we;
+wire [21:0] litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
+wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
+wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
+reg [4:0] litedramcore_bankmachine1_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine1_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we;
+wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr;
+wire [24:0] litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [21:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [21:0] litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine1_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine1_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine1_cmd_buffer_sink_first;
+wire litedramcore_bankmachine1_cmd_buffer_sink_last;
+wire litedramcore_bankmachine1_cmd_buffer_sink_payload_we;
+wire [21:0] litedramcore_bankmachine1_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine1_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine1_cmd_buffer_source_ready;
+reg litedramcore_bankmachine1_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine1_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine1_cmd_buffer_source_payload_we = 1'd0;
+reg [21:0] litedramcore_bankmachine1_cmd_buffer_source_payload_addr = 22'd0;
+reg [14:0] litedramcore_bankmachine1_row = 15'd0;
+reg litedramcore_bankmachine1_row_opened = 1'd0;
+wire litedramcore_bankmachine1_row_hit;
+reg litedramcore_bankmachine1_row_open = 1'd0;
+reg litedramcore_bankmachine1_row_close = 1'd0;
+reg litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine1_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine1_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine1_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine1_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine1_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine1_trccon_count = 3'd0;
+wire litedramcore_bankmachine1_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine1_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine1_trascon_count = 3'd0;
+wire litedramcore_bankmachine2_req_valid;
+wire litedramcore_bankmachine2_req_ready;
+wire litedramcore_bankmachine2_req_we;
+wire [21:0] litedramcore_bankmachine2_req_addr;
+wire litedramcore_bankmachine2_req_lock;
+reg litedramcore_bankmachine2_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine2_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine2_refresh_req;
+reg litedramcore_bankmachine2_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine2_cmd_valid = 1'd0;
+reg litedramcore_bankmachine2_cmd_ready = 1'd0;
+reg [14:0] litedramcore_bankmachine2_cmd_payload_a = 15'd0;
+wire [2:0] litedramcore_bankmachine2_cmd_payload_ba;
+reg litedramcore_bankmachine2_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine2_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine2_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine2_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine2_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine2_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine2_auto_precharge = 1'd0;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
+wire [21:0] litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we;
+wire [21:0] litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
+wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
+wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
+reg [4:0] litedramcore_bankmachine2_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine2_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we;
+wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr;
+wire [24:0] litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [21:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [21:0] litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine2_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine2_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine2_cmd_buffer_sink_first;
+wire litedramcore_bankmachine2_cmd_buffer_sink_last;
+wire litedramcore_bankmachine2_cmd_buffer_sink_payload_we;
+wire [21:0] litedramcore_bankmachine2_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine2_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine2_cmd_buffer_source_ready;
+reg litedramcore_bankmachine2_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine2_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine2_cmd_buffer_source_payload_we = 1'd0;
+reg [21:0] litedramcore_bankmachine2_cmd_buffer_source_payload_addr = 22'd0;
+reg [14:0] litedramcore_bankmachine2_row = 15'd0;
+reg litedramcore_bankmachine2_row_opened = 1'd0;
+wire litedramcore_bankmachine2_row_hit;
+reg litedramcore_bankmachine2_row_open = 1'd0;
+reg litedramcore_bankmachine2_row_close = 1'd0;
+reg litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine2_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine2_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine2_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine2_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine2_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine2_trccon_count = 3'd0;
+wire litedramcore_bankmachine2_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine2_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine2_trascon_count = 3'd0;
+wire litedramcore_bankmachine3_req_valid;
+wire litedramcore_bankmachine3_req_ready;
+wire litedramcore_bankmachine3_req_we;
+wire [21:0] litedramcore_bankmachine3_req_addr;
+wire litedramcore_bankmachine3_req_lock;
+reg litedramcore_bankmachine3_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine3_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine3_refresh_req;
+reg litedramcore_bankmachine3_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine3_cmd_valid = 1'd0;
+reg litedramcore_bankmachine3_cmd_ready = 1'd0;
+reg [14:0] litedramcore_bankmachine3_cmd_payload_a = 15'd0;
+wire [2:0] litedramcore_bankmachine3_cmd_payload_ba;
+reg litedramcore_bankmachine3_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine3_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine3_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine3_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine3_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine3_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine3_auto_precharge = 1'd0;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
+wire [21:0] litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we;
+wire [21:0] litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
+wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
+wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
+reg [4:0] litedramcore_bankmachine3_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine3_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we;
+wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr;
+wire [24:0] litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [21:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [21:0] litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine3_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine3_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine3_cmd_buffer_sink_first;
+wire litedramcore_bankmachine3_cmd_buffer_sink_last;
+wire litedramcore_bankmachine3_cmd_buffer_sink_payload_we;
+wire [21:0] litedramcore_bankmachine3_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine3_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine3_cmd_buffer_source_ready;
+reg litedramcore_bankmachine3_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine3_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine3_cmd_buffer_source_payload_we = 1'd0;
+reg [21:0] litedramcore_bankmachine3_cmd_buffer_source_payload_addr = 22'd0;
+reg [14:0] litedramcore_bankmachine3_row = 15'd0;
+reg litedramcore_bankmachine3_row_opened = 1'd0;
+wire litedramcore_bankmachine3_row_hit;
+reg litedramcore_bankmachine3_row_open = 1'd0;
+reg litedramcore_bankmachine3_row_close = 1'd0;
+reg litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine3_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine3_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine3_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine3_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine3_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine3_trccon_count = 3'd0;
+wire litedramcore_bankmachine3_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine3_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine3_trascon_count = 3'd0;
+wire litedramcore_bankmachine4_req_valid;
+wire litedramcore_bankmachine4_req_ready;
+wire litedramcore_bankmachine4_req_we;
+wire [21:0] litedramcore_bankmachine4_req_addr;
+wire litedramcore_bankmachine4_req_lock;
+reg litedramcore_bankmachine4_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine4_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine4_refresh_req;
+reg litedramcore_bankmachine4_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine4_cmd_valid = 1'd0;
+reg litedramcore_bankmachine4_cmd_ready = 1'd0;
+reg [14:0] litedramcore_bankmachine4_cmd_payload_a = 15'd0;
+wire [2:0] litedramcore_bankmachine4_cmd_payload_ba;
+reg litedramcore_bankmachine4_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine4_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine4_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine4_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine4_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine4_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine4_auto_precharge = 1'd0;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
+wire [21:0] litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we;
+wire [21:0] litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
+wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
+wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
+reg [4:0] litedramcore_bankmachine4_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine4_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we;
+wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr;
+wire [24:0] litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [21:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [21:0] litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine4_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine4_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine4_cmd_buffer_sink_first;
+wire litedramcore_bankmachine4_cmd_buffer_sink_last;
+wire litedramcore_bankmachine4_cmd_buffer_sink_payload_we;
+wire [21:0] litedramcore_bankmachine4_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine4_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine4_cmd_buffer_source_ready;
+reg litedramcore_bankmachine4_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine4_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine4_cmd_buffer_source_payload_we = 1'd0;
+reg [21:0] litedramcore_bankmachine4_cmd_buffer_source_payload_addr = 22'd0;
+reg [14:0] litedramcore_bankmachine4_row = 15'd0;
+reg litedramcore_bankmachine4_row_opened = 1'd0;
+wire litedramcore_bankmachine4_row_hit;
+reg litedramcore_bankmachine4_row_open = 1'd0;
+reg litedramcore_bankmachine4_row_close = 1'd0;
+reg litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine4_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine4_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine4_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine4_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine4_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine4_trccon_count = 3'd0;
+wire litedramcore_bankmachine4_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine4_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine4_trascon_count = 3'd0;
+wire litedramcore_bankmachine5_req_valid;
+wire litedramcore_bankmachine5_req_ready;
+wire litedramcore_bankmachine5_req_we;
+wire [21:0] litedramcore_bankmachine5_req_addr;
+wire litedramcore_bankmachine5_req_lock;
+reg litedramcore_bankmachine5_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine5_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine5_refresh_req;
+reg litedramcore_bankmachine5_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine5_cmd_valid = 1'd0;
+reg litedramcore_bankmachine5_cmd_ready = 1'd0;
+reg [14:0] litedramcore_bankmachine5_cmd_payload_a = 15'd0;
+wire [2:0] litedramcore_bankmachine5_cmd_payload_ba;
+reg litedramcore_bankmachine5_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine5_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine5_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine5_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine5_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine5_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine5_auto_precharge = 1'd0;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
+wire [21:0] litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we;
+wire [21:0] litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
+wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
+wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
+reg [4:0] litedramcore_bankmachine5_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine5_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we;
+wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr;
+wire [24:0] litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [21:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [21:0] litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine5_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine5_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine5_cmd_buffer_sink_first;
+wire litedramcore_bankmachine5_cmd_buffer_sink_last;
+wire litedramcore_bankmachine5_cmd_buffer_sink_payload_we;
+wire [21:0] litedramcore_bankmachine5_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine5_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine5_cmd_buffer_source_ready;
+reg litedramcore_bankmachine5_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine5_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine5_cmd_buffer_source_payload_we = 1'd0;
+reg [21:0] litedramcore_bankmachine5_cmd_buffer_source_payload_addr = 22'd0;
+reg [14:0] litedramcore_bankmachine5_row = 15'd0;
+reg litedramcore_bankmachine5_row_opened = 1'd0;
+wire litedramcore_bankmachine5_row_hit;
+reg litedramcore_bankmachine5_row_open = 1'd0;
+reg litedramcore_bankmachine5_row_close = 1'd0;
+reg litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine5_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine5_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine5_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine5_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine5_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine5_trccon_count = 3'd0;
+wire litedramcore_bankmachine5_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine5_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine5_trascon_count = 3'd0;
+wire litedramcore_bankmachine6_req_valid;
+wire litedramcore_bankmachine6_req_ready;
+wire litedramcore_bankmachine6_req_we;
+wire [21:0] litedramcore_bankmachine6_req_addr;
+wire litedramcore_bankmachine6_req_lock;
+reg litedramcore_bankmachine6_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine6_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine6_refresh_req;
+reg litedramcore_bankmachine6_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine6_cmd_valid = 1'd0;
+reg litedramcore_bankmachine6_cmd_ready = 1'd0;
+reg [14:0] litedramcore_bankmachine6_cmd_payload_a = 15'd0;
+wire [2:0] litedramcore_bankmachine6_cmd_payload_ba;
+reg litedramcore_bankmachine6_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine6_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine6_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine6_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine6_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine6_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine6_auto_precharge = 1'd0;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
+wire [21:0] litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we;
+wire [21:0] litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
+wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
+wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
+reg [4:0] litedramcore_bankmachine6_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine6_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we;
+wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr;
+wire [24:0] litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [21:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [21:0] litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine6_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine6_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine6_cmd_buffer_sink_first;
+wire litedramcore_bankmachine6_cmd_buffer_sink_last;
+wire litedramcore_bankmachine6_cmd_buffer_sink_payload_we;
+wire [21:0] litedramcore_bankmachine6_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine6_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine6_cmd_buffer_source_ready;
+reg litedramcore_bankmachine6_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine6_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine6_cmd_buffer_source_payload_we = 1'd0;
+reg [21:0] litedramcore_bankmachine6_cmd_buffer_source_payload_addr = 22'd0;
+reg [14:0] litedramcore_bankmachine6_row = 15'd0;
+reg litedramcore_bankmachine6_row_opened = 1'd0;
+wire litedramcore_bankmachine6_row_hit;
+reg litedramcore_bankmachine6_row_open = 1'd0;
+reg litedramcore_bankmachine6_row_close = 1'd0;
+reg litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine6_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine6_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine6_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine6_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine6_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine6_trccon_count = 3'd0;
+wire litedramcore_bankmachine6_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine6_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine6_trascon_count = 3'd0;
+wire litedramcore_bankmachine7_req_valid;
+wire litedramcore_bankmachine7_req_ready;
+wire litedramcore_bankmachine7_req_we;
+wire [21:0] litedramcore_bankmachine7_req_addr;
+wire litedramcore_bankmachine7_req_lock;
+reg litedramcore_bankmachine7_req_wdata_ready = 1'd0;
+reg litedramcore_bankmachine7_req_rdata_valid = 1'd0;
+wire litedramcore_bankmachine7_refresh_req;
+reg litedramcore_bankmachine7_refresh_gnt = 1'd0;
+reg litedramcore_bankmachine7_cmd_valid = 1'd0;
+reg litedramcore_bankmachine7_cmd_ready = 1'd0;
+reg [14:0] litedramcore_bankmachine7_cmd_payload_a = 15'd0;
+wire [2:0] litedramcore_bankmachine7_cmd_payload_ba;
+reg litedramcore_bankmachine7_cmd_payload_cas = 1'd0;
+reg litedramcore_bankmachine7_cmd_payload_ras = 1'd0;
+reg litedramcore_bankmachine7_cmd_payload_we = 1'd0;
+reg litedramcore_bankmachine7_cmd_payload_is_cmd = 1'd0;
+reg litedramcore_bankmachine7_cmd_payload_is_read = 1'd0;
+reg litedramcore_bankmachine7_cmd_payload_is_write = 1'd0;
+reg litedramcore_bankmachine7_auto_precharge = 1'd0;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready;
+reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first = 1'd0;
+reg litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last = 1'd0;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
+wire [21:0] litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_first;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_last;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we;
+wire [21:0] litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
+wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
+wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
+reg [4:0] litedramcore_bankmachine7_cmd_buffer_lookahead_level = 5'd0;
+reg litedramcore_bankmachine7_cmd_buffer_lookahead_replace = 1'd0;
+reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_produce = 4'd0;
+reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_consume = 4'd0;
+reg [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr = 4'd0;
+wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we;
+wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_do_read;
+wire [3:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr;
+wire [24:0] litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we;
+wire [21:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
+wire [21:0] litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
+wire litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
+wire litedramcore_bankmachine7_cmd_buffer_sink_valid;
+wire litedramcore_bankmachine7_cmd_buffer_sink_ready;
+wire litedramcore_bankmachine7_cmd_buffer_sink_first;
+wire litedramcore_bankmachine7_cmd_buffer_sink_last;
+wire litedramcore_bankmachine7_cmd_buffer_sink_payload_we;
+wire [21:0] litedramcore_bankmachine7_cmd_buffer_sink_payload_addr;
+reg litedramcore_bankmachine7_cmd_buffer_source_valid = 1'd0;
+wire litedramcore_bankmachine7_cmd_buffer_source_ready;
+reg litedramcore_bankmachine7_cmd_buffer_source_first = 1'd0;
+reg litedramcore_bankmachine7_cmd_buffer_source_last = 1'd0;
+reg litedramcore_bankmachine7_cmd_buffer_source_payload_we = 1'd0;
+reg [21:0] litedramcore_bankmachine7_cmd_buffer_source_payload_addr = 22'd0;
+reg [14:0] litedramcore_bankmachine7_row = 15'd0;
+reg litedramcore_bankmachine7_row_opened = 1'd0;
+wire litedramcore_bankmachine7_row_hit;
+reg litedramcore_bankmachine7_row_open = 1'd0;
+reg litedramcore_bankmachine7_row_close = 1'd0;
+reg litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0;
+wire litedramcore_bankmachine7_twtpcon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine7_twtpcon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine7_twtpcon_count = 3'd0;
+wire litedramcore_bankmachine7_trccon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine7_trccon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine7_trccon_count = 3'd0;
+wire litedramcore_bankmachine7_trascon_valid;
+(* dont_touch = "true" *) reg litedramcore_bankmachine7_trascon_ready = 1'd1;
+reg [2:0] litedramcore_bankmachine7_trascon_count = 3'd0;
+wire litedramcore_ras_allowed;
+wire litedramcore_cas_allowed;
+reg litedramcore_choose_cmd_want_reads = 1'd0;
+reg litedramcore_choose_cmd_want_writes = 1'd0;
+reg litedramcore_choose_cmd_want_cmds = 1'd0;
+reg litedramcore_choose_cmd_want_activates = 1'd0;
+wire litedramcore_choose_cmd_cmd_valid;
+reg litedramcore_choose_cmd_cmd_ready = 1'd0;
+wire [14:0] litedramcore_choose_cmd_cmd_payload_a;
+wire [2:0] litedramcore_choose_cmd_cmd_payload_ba;
+reg litedramcore_choose_cmd_cmd_payload_cas = 1'd0;
+reg litedramcore_choose_cmd_cmd_payload_ras = 1'd0;
+reg litedramcore_choose_cmd_cmd_payload_we = 1'd0;
+wire litedramcore_choose_cmd_cmd_payload_is_cmd;
+wire litedramcore_choose_cmd_cmd_payload_is_read;
+wire litedramcore_choose_cmd_cmd_payload_is_write;
+reg [7:0] litedramcore_choose_cmd_valids = 8'd0;
+wire [7:0] litedramcore_choose_cmd_request;
+reg [2:0] litedramcore_choose_cmd_grant = 3'd0;
+wire litedramcore_choose_cmd_ce;
+reg litedramcore_choose_req_want_reads = 1'd0;
+reg litedramcore_choose_req_want_writes = 1'd0;
+reg litedramcore_choose_req_want_cmds = 1'd0;
+reg litedramcore_choose_req_want_activates = 1'd0;
+wire litedramcore_choose_req_cmd_valid;
+reg litedramcore_choose_req_cmd_ready = 1'd0;
+wire [14:0] litedramcore_choose_req_cmd_payload_a;
+wire [2:0] litedramcore_choose_req_cmd_payload_ba;
+reg litedramcore_choose_req_cmd_payload_cas = 1'd0;
+reg litedramcore_choose_req_cmd_payload_ras = 1'd0;
+reg litedramcore_choose_req_cmd_payload_we = 1'd0;
+wire litedramcore_choose_req_cmd_payload_is_cmd;
+wire litedramcore_choose_req_cmd_payload_is_read;
+wire litedramcore_choose_req_cmd_payload_is_write;
+reg [7:0] litedramcore_choose_req_valids = 8'd0;
+wire [7:0] litedramcore_choose_req_request;
+reg [2:0] litedramcore_choose_req_grant = 3'd0;
+wire litedramcore_choose_req_ce;
+reg [14:0] litedramcore_nop_a = 15'd0;
+reg [2:0] litedramcore_nop_ba = 3'd0;
+reg [1:0] litedramcore_steerer_sel0 = 2'd0;
+reg [1:0] litedramcore_steerer_sel1 = 2'd0;
+reg [1:0] litedramcore_steerer_sel2 = 2'd0;
+reg [1:0] litedramcore_steerer_sel3 = 2'd0;
+reg litedramcore_steerer0 = 1'd1;
+reg litedramcore_steerer1 = 1'd1;
+reg litedramcore_steerer2 = 1'd1;
+reg litedramcore_steerer3 = 1'd1;
+reg litedramcore_steerer4 = 1'd1;
+reg litedramcore_steerer5 = 1'd1;
+reg litedramcore_steerer6 = 1'd1;
+reg litedramcore_steerer7 = 1'd1;
+wire litedramcore_trrdcon_valid;
+(* dont_touch = "true" *) reg litedramcore_trrdcon_ready = 1'd1;
+reg litedramcore_trrdcon_count = 1'd0;
+wire litedramcore_tfawcon_valid;
+(* dont_touch = "true" *) reg litedramcore_tfawcon_ready = 1'd1;
+wire [2:0] litedramcore_tfawcon_count;
+reg [4:0] litedramcore_tfawcon_window = 5'd0;
+wire litedramcore_tccdcon_valid;
+(* dont_touch = "true" *) reg litedramcore_tccdcon_ready = 1'd1;
+reg litedramcore_tccdcon_count = 1'd0;
+wire litedramcore_twtrcon_valid;
+(* dont_touch = "true" *) reg litedramcore_twtrcon_ready = 1'd1;
+reg [2:0] litedramcore_twtrcon_count = 3'd0;
+wire litedramcore_read_available;
+wire litedramcore_write_available;
+reg litedramcore_en0 = 1'd0;
+wire litedramcore_max_time0;
+reg [4:0] litedramcore_time0 = 5'd0;
+reg litedramcore_en1 = 1'd0;
+wire litedramcore_max_time1;
+reg [3:0] litedramcore_time1 = 4'd0;
+wire litedramcore_go_to_refresh;
+reg init_done_storage = 1'd0;
+reg init_done_re = 1'd0;
+reg init_error_storage = 1'd0;
+reg init_error_re = 1'd0;
+wire [13:0] csr_port_adr;
+wire csr_port_we;
+wire [7:0] csr_port_dat_w;
+wire [7:0] csr_port_dat_r;
+wire user_port_cmd_valid;
+wire user_port_cmd_ready;
+wire user_port_cmd_payload_we;
+wire [24:0] user_port_cmd_payload_addr;
+wire user_port_wdata_valid;
+wire user_port_wdata_ready;
+wire [127:0] user_port_wdata_payload_data;
+wire [15:0] user_port_wdata_payload_we;
+wire user_port_rdata_valid;
+wire user_port_rdata_ready;
+wire [127:0] user_port_rdata_payload_data;
+wire pll_fb0;
+wire pll_fb1;
+reg [1:0] refresher_state = 2'd0;
+reg [1:0] refresher_next_state = 2'd0;
+reg [3:0] bankmachine0_state = 4'd0;
+reg [3:0] bankmachine0_next_state = 4'd0;
+reg [3:0] bankmachine1_state = 4'd0;
+reg [3:0] bankmachine1_next_state = 4'd0;
+reg [3:0] bankmachine2_state = 4'd0;
+reg [3:0] bankmachine2_next_state = 4'd0;
+reg [3:0] bankmachine3_state = 4'd0;
+reg [3:0] bankmachine3_next_state = 4'd0;
+reg [3:0] bankmachine4_state = 4'd0;
+reg [3:0] bankmachine4_next_state = 4'd0;
+reg [3:0] bankmachine5_state = 4'd0;
+reg [3:0] bankmachine5_next_state = 4'd0;
+reg [3:0] bankmachine6_state = 4'd0;
+reg [3:0] bankmachine6_next_state = 4'd0;
+reg [3:0] bankmachine7_state = 4'd0;
+reg [3:0] bankmachine7_next_state = 4'd0;
+reg [3:0] multiplexer_state = 4'd0;
+reg [3:0] multiplexer_next_state = 4'd0;
+wire roundrobin0_request;
+wire roundrobin0_grant;
+wire roundrobin0_ce;
+wire roundrobin1_request;
+wire roundrobin1_grant;
+wire roundrobin1_ce;
+wire roundrobin2_request;
+wire roundrobin2_grant;
+wire roundrobin2_ce;
+wire roundrobin3_request;
+wire roundrobin3_grant;
+wire roundrobin3_ce;
+wire roundrobin4_request;
+wire roundrobin4_grant;
+wire roundrobin4_ce;
+wire roundrobin5_request;
+wire roundrobin5_grant;
+wire roundrobin5_ce;
+wire roundrobin6_request;
+wire roundrobin6_grant;
+wire roundrobin6_ce;
+wire roundrobin7_request;
+wire roundrobin7_grant;
+wire roundrobin7_ce;
+reg locked0 = 1'd0;
+reg locked1 = 1'd0;
+reg locked2 = 1'd0;
+reg locked3 = 1'd0;
+reg locked4 = 1'd0;
+reg locked5 = 1'd0;
+reg locked6 = 1'd0;
+reg locked7 = 1'd0;
+reg new_master_wdata_ready0 = 1'd0;
+reg new_master_wdata_ready1 = 1'd0;
+reg new_master_wdata_ready2 = 1'd0;
+reg new_master_rdata_valid0 = 1'd0;
+reg new_master_rdata_valid1 = 1'd0;
+reg new_master_rdata_valid2 = 1'd0;
+reg new_master_rdata_valid3 = 1'd0;
+reg new_master_rdata_valid4 = 1'd0;
+reg new_master_rdata_valid5 = 1'd0;
+reg new_master_rdata_valid6 = 1'd0;
+reg new_master_rdata_valid7 = 1'd0;
+reg new_master_rdata_valid8 = 1'd0;
+wire [13:0] interface0_bank_bus_adr;
+wire interface0_bank_bus_we;
+wire [7:0] interface0_bank_bus_dat_w;
+reg [7:0] interface0_bank_bus_dat_r = 8'd0;
+wire csrbank0_init_done0_re;
+wire csrbank0_init_done0_r;
+wire csrbank0_init_done0_we;
+wire csrbank0_init_done0_w;
+wire csrbank0_init_error0_re;
+wire csrbank0_init_error0_r;
+wire csrbank0_init_error0_we;
+wire csrbank0_init_error0_w;
+reg csrbank0_sel = 1'd0;
+wire [13:0] interface1_bank_bus_adr;
+wire interface1_bank_bus_we;
+wire [7:0] interface1_bank_bus_dat_w;
+reg [7:0] interface1_bank_bus_dat_r = 8'd0;
+wire csrbank1_half_sys8x_taps0_re;
+wire [4:0] csrbank1_half_sys8x_taps0_r;
+wire csrbank1_half_sys8x_taps0_we;
+wire [4:0] csrbank1_half_sys8x_taps0_w;
+wire csrbank1_wlevel_en0_re;
+wire csrbank1_wlevel_en0_r;
+wire csrbank1_wlevel_en0_we;
+wire csrbank1_wlevel_en0_w;
+wire csrbank1_dly_sel0_re;
+wire [1:0] csrbank1_dly_sel0_r;
+wire csrbank1_dly_sel0_we;
+wire [1:0] csrbank1_dly_sel0_w;
+reg csrbank1_sel = 1'd0;
+wire [13:0] interface2_bank_bus_adr;
+wire interface2_bank_bus_we;
+wire [7:0] interface2_bank_bus_dat_w;
+reg [7:0] interface2_bank_bus_dat_r = 8'd0;
+wire csrbank2_dfii_control0_re;
+wire [3:0] csrbank2_dfii_control0_r;
+wire csrbank2_dfii_control0_we;
+wire [3:0] csrbank2_dfii_control0_w;
+wire csrbank2_dfii_pi0_command0_re;
+wire [5:0] csrbank2_dfii_pi0_command0_r;
+wire csrbank2_dfii_pi0_command0_we;
+wire [5:0] csrbank2_dfii_pi0_command0_w;
+wire csrbank2_dfii_pi0_address1_re;
+wire [6:0] csrbank2_dfii_pi0_address1_r;
+wire csrbank2_dfii_pi0_address1_we;
+wire [6:0] csrbank2_dfii_pi0_address1_w;
+wire csrbank2_dfii_pi0_address0_re;
+wire [7:0] csrbank2_dfii_pi0_address0_r;
+wire csrbank2_dfii_pi0_address0_we;
+wire [7:0] csrbank2_dfii_pi0_address0_w;
+wire csrbank2_dfii_pi0_baddress0_re;
+wire [2:0] csrbank2_dfii_pi0_baddress0_r;
+wire csrbank2_dfii_pi0_baddress0_we;
+wire [2:0] csrbank2_dfii_pi0_baddress0_w;
+wire csrbank2_dfii_pi0_wrdata3_re;
+wire [7:0] csrbank2_dfii_pi0_wrdata3_r;
+wire csrbank2_dfii_pi0_wrdata3_we;
+wire [7:0] csrbank2_dfii_pi0_wrdata3_w;
+wire csrbank2_dfii_pi0_wrdata2_re;
+wire [7:0] csrbank2_dfii_pi0_wrdata2_r;
+wire csrbank2_dfii_pi0_wrdata2_we;
+wire [7:0] csrbank2_dfii_pi0_wrdata2_w;
+wire csrbank2_dfii_pi0_wrdata1_re;
+wire [7:0] csrbank2_dfii_pi0_wrdata1_r;
+wire csrbank2_dfii_pi0_wrdata1_we;
+wire [7:0] csrbank2_dfii_pi0_wrdata1_w;
+wire csrbank2_dfii_pi0_wrdata0_re;
+wire [7:0] csrbank2_dfii_pi0_wrdata0_r;
+wire csrbank2_dfii_pi0_wrdata0_we;
+wire [7:0] csrbank2_dfii_pi0_wrdata0_w;
+wire csrbank2_dfii_pi0_rddata3_re;
+wire [7:0] csrbank2_dfii_pi0_rddata3_r;
+wire csrbank2_dfii_pi0_rddata3_we;
+wire [7:0] csrbank2_dfii_pi0_rddata3_w;
+wire csrbank2_dfii_pi0_rddata2_re;
+wire [7:0] csrbank2_dfii_pi0_rddata2_r;
+wire csrbank2_dfii_pi0_rddata2_we;
+wire [7:0] csrbank2_dfii_pi0_rddata2_w;
+wire csrbank2_dfii_pi0_rddata1_re;
+wire [7:0] csrbank2_dfii_pi0_rddata1_r;
+wire csrbank2_dfii_pi0_rddata1_we;
+wire [7:0] csrbank2_dfii_pi0_rddata1_w;
+wire csrbank2_dfii_pi0_rddata0_re;
+wire [7:0] csrbank2_dfii_pi0_rddata0_r;
+wire csrbank2_dfii_pi0_rddata0_we;
+wire [7:0] csrbank2_dfii_pi0_rddata0_w;
+wire csrbank2_dfii_pi1_command0_re;
+wire [5:0] csrbank2_dfii_pi1_command0_r;
+wire csrbank2_dfii_pi1_command0_we;
+wire [5:0] csrbank2_dfii_pi1_command0_w;
+wire csrbank2_dfii_pi1_address1_re;
+wire [6:0] csrbank2_dfii_pi1_address1_r;
+wire csrbank2_dfii_pi1_address1_we;
+wire [6:0] csrbank2_dfii_pi1_address1_w;
+wire csrbank2_dfii_pi1_address0_re;
+wire [7:0] csrbank2_dfii_pi1_address0_r;
+wire csrbank2_dfii_pi1_address0_we;
+wire [7:0] csrbank2_dfii_pi1_address0_w;
+wire csrbank2_dfii_pi1_baddress0_re;
+wire [2:0] csrbank2_dfii_pi1_baddress0_r;
+wire csrbank2_dfii_pi1_baddress0_we;
+wire [2:0] csrbank2_dfii_pi1_baddress0_w;
+wire csrbank2_dfii_pi1_wrdata3_re;
+wire [7:0] csrbank2_dfii_pi1_wrdata3_r;
+wire csrbank2_dfii_pi1_wrdata3_we;
+wire [7:0] csrbank2_dfii_pi1_wrdata3_w;
+wire csrbank2_dfii_pi1_wrdata2_re;
+wire [7:0] csrbank2_dfii_pi1_wrdata2_r;
+wire csrbank2_dfii_pi1_wrdata2_we;
+wire [7:0] csrbank2_dfii_pi1_wrdata2_w;
+wire csrbank2_dfii_pi1_wrdata1_re;
+wire [7:0] csrbank2_dfii_pi1_wrdata1_r;
+wire csrbank2_dfii_pi1_wrdata1_we;
+wire [7:0] csrbank2_dfii_pi1_wrdata1_w;
+wire csrbank2_dfii_pi1_wrdata0_re;
+wire [7:0] csrbank2_dfii_pi1_wrdata0_r;
+wire csrbank2_dfii_pi1_wrdata0_we;
+wire [7:0] csrbank2_dfii_pi1_wrdata0_w;
+wire csrbank2_dfii_pi1_rddata3_re;
+wire [7:0] csrbank2_dfii_pi1_rddata3_r;
+wire csrbank2_dfii_pi1_rddata3_we;
+wire [7:0] csrbank2_dfii_pi1_rddata3_w;
+wire csrbank2_dfii_pi1_rddata2_re;
+wire [7:0] csrbank2_dfii_pi1_rddata2_r;
+wire csrbank2_dfii_pi1_rddata2_we;
+wire [7:0] csrbank2_dfii_pi1_rddata2_w;
+wire csrbank2_dfii_pi1_rddata1_re;
+wire [7:0] csrbank2_dfii_pi1_rddata1_r;
+wire csrbank2_dfii_pi1_rddata1_we;
+wire [7:0] csrbank2_dfii_pi1_rddata1_w;
+wire csrbank2_dfii_pi1_rddata0_re;
+wire [7:0] csrbank2_dfii_pi1_rddata0_r;
+wire csrbank2_dfii_pi1_rddata0_we;
+wire [7:0] csrbank2_dfii_pi1_rddata0_w;
+wire csrbank2_dfii_pi2_command0_re;
+wire [5:0] csrbank2_dfii_pi2_command0_r;
+wire csrbank2_dfii_pi2_command0_we;
+wire [5:0] csrbank2_dfii_pi2_command0_w;
+wire csrbank2_dfii_pi2_address1_re;
+wire [6:0] csrbank2_dfii_pi2_address1_r;
+wire csrbank2_dfii_pi2_address1_we;
+wire [6:0] csrbank2_dfii_pi2_address1_w;
+wire csrbank2_dfii_pi2_address0_re;
+wire [7:0] csrbank2_dfii_pi2_address0_r;
+wire csrbank2_dfii_pi2_address0_we;
+wire [7:0] csrbank2_dfii_pi2_address0_w;
+wire csrbank2_dfii_pi2_baddress0_re;
+wire [2:0] csrbank2_dfii_pi2_baddress0_r;
+wire csrbank2_dfii_pi2_baddress0_we;
+wire [2:0] csrbank2_dfii_pi2_baddress0_w;
+wire csrbank2_dfii_pi2_wrdata3_re;
+wire [7:0] csrbank2_dfii_pi2_wrdata3_r;
+wire csrbank2_dfii_pi2_wrdata3_we;
+wire [7:0] csrbank2_dfii_pi2_wrdata3_w;
+wire csrbank2_dfii_pi2_wrdata2_re;
+wire [7:0] csrbank2_dfii_pi2_wrdata2_r;
+wire csrbank2_dfii_pi2_wrdata2_we;
+wire [7:0] csrbank2_dfii_pi2_wrdata2_w;
+wire csrbank2_dfii_pi2_wrdata1_re;
+wire [7:0] csrbank2_dfii_pi2_wrdata1_r;
+wire csrbank2_dfii_pi2_wrdata1_we;
+wire [7:0] csrbank2_dfii_pi2_wrdata1_w;
+wire csrbank2_dfii_pi2_wrdata0_re;
+wire [7:0] csrbank2_dfii_pi2_wrdata0_r;
+wire csrbank2_dfii_pi2_wrdata0_we;
+wire [7:0] csrbank2_dfii_pi2_wrdata0_w;
+wire csrbank2_dfii_pi2_rddata3_re;
+wire [7:0] csrbank2_dfii_pi2_rddata3_r;
+wire csrbank2_dfii_pi2_rddata3_we;
+wire [7:0] csrbank2_dfii_pi2_rddata3_w;
+wire csrbank2_dfii_pi2_rddata2_re;
+wire [7:0] csrbank2_dfii_pi2_rddata2_r;
+wire csrbank2_dfii_pi2_rddata2_we;
+wire [7:0] csrbank2_dfii_pi2_rddata2_w;
+wire csrbank2_dfii_pi2_rddata1_re;
+wire [7:0] csrbank2_dfii_pi2_rddata1_r;
+wire csrbank2_dfii_pi2_rddata1_we;
+wire [7:0] csrbank2_dfii_pi2_rddata1_w;
+wire csrbank2_dfii_pi2_rddata0_re;
+wire [7:0] csrbank2_dfii_pi2_rddata0_r;
+wire csrbank2_dfii_pi2_rddata0_we;
+wire [7:0] csrbank2_dfii_pi2_rddata0_w;
+wire csrbank2_dfii_pi3_command0_re;
+wire [5:0] csrbank2_dfii_pi3_command0_r;
+wire csrbank2_dfii_pi3_command0_we;
+wire [5:0] csrbank2_dfii_pi3_command0_w;
+wire csrbank2_dfii_pi3_address1_re;
+wire [6:0] csrbank2_dfii_pi3_address1_r;
+wire csrbank2_dfii_pi3_address1_we;
+wire [6:0] csrbank2_dfii_pi3_address1_w;
+wire csrbank2_dfii_pi3_address0_re;
+wire [7:0] csrbank2_dfii_pi3_address0_r;
+wire csrbank2_dfii_pi3_address0_we;
+wire [7:0] csrbank2_dfii_pi3_address0_w;
+wire csrbank2_dfii_pi3_baddress0_re;
+wire [2:0] csrbank2_dfii_pi3_baddress0_r;
+wire csrbank2_dfii_pi3_baddress0_we;
+wire [2:0] csrbank2_dfii_pi3_baddress0_w;
+wire csrbank2_dfii_pi3_wrdata3_re;
+wire [7:0] csrbank2_dfii_pi3_wrdata3_r;
+wire csrbank2_dfii_pi3_wrdata3_we;
+wire [7:0] csrbank2_dfii_pi3_wrdata3_w;
+wire csrbank2_dfii_pi3_wrdata2_re;
+wire [7:0] csrbank2_dfii_pi3_wrdata2_r;
+wire csrbank2_dfii_pi3_wrdata2_we;
+wire [7:0] csrbank2_dfii_pi3_wrdata2_w;
+wire csrbank2_dfii_pi3_wrdata1_re;
+wire [7:0] csrbank2_dfii_pi3_wrdata1_r;
+wire csrbank2_dfii_pi3_wrdata1_we;
+wire [7:0] csrbank2_dfii_pi3_wrdata1_w;
+wire csrbank2_dfii_pi3_wrdata0_re;
+wire [7:0] csrbank2_dfii_pi3_wrdata0_r;
+wire csrbank2_dfii_pi3_wrdata0_we;
+wire [7:0] csrbank2_dfii_pi3_wrdata0_w;
+wire csrbank2_dfii_pi3_rddata3_re;
+wire [7:0] csrbank2_dfii_pi3_rddata3_r;
+wire csrbank2_dfii_pi3_rddata3_we;
+wire [7:0] csrbank2_dfii_pi3_rddata3_w;
+wire csrbank2_dfii_pi3_rddata2_re;
+wire [7:0] csrbank2_dfii_pi3_rddata2_r;
+wire csrbank2_dfii_pi3_rddata2_we;
+wire [7:0] csrbank2_dfii_pi3_rddata2_w;
+wire csrbank2_dfii_pi3_rddata1_re;
+wire [7:0] csrbank2_dfii_pi3_rddata1_r;
+wire csrbank2_dfii_pi3_rddata1_we;
+wire [7:0] csrbank2_dfii_pi3_rddata1_w;
+wire csrbank2_dfii_pi3_rddata0_re;
+wire [7:0] csrbank2_dfii_pi3_rddata0_r;
+wire csrbank2_dfii_pi3_rddata0_we;
+wire [7:0] csrbank2_dfii_pi3_rddata0_w;
+reg csrbank2_sel = 1'd0;
+wire [13:0] adr;
+wire we;
+wire [7:0] dat_w;
+wire [7:0] dat_r;
+reg rhs_array_muxed0 = 1'd0;
+reg [14:0] rhs_array_muxed1 = 15'd0;
+reg [2:0] rhs_array_muxed2 = 3'd0;
+reg rhs_array_muxed3 = 1'd0;
+reg rhs_array_muxed4 = 1'd0;
+reg rhs_array_muxed5 = 1'd0;
+reg t_array_muxed0 = 1'd0;
+reg t_array_muxed1 = 1'd0;
+reg t_array_muxed2 = 1'd0;
+reg rhs_array_muxed6 = 1'd0;
+reg [14:0] rhs_array_muxed7 = 15'd0;
+reg [2:0] rhs_array_muxed8 = 3'd0;
+reg rhs_array_muxed9 = 1'd0;
+reg rhs_array_muxed10 = 1'd0;
+reg rhs_array_muxed11 = 1'd0;
+reg t_array_muxed3 = 1'd0;
+reg t_array_muxed4 = 1'd0;
+reg t_array_muxed5 = 1'd0;
+reg [21:0] rhs_array_muxed12 = 22'd0;
+reg rhs_array_muxed13 = 1'd0;
+reg rhs_array_muxed14 = 1'd0;
+reg [21:0] rhs_array_muxed15 = 22'd0;
+reg rhs_array_muxed16 = 1'd0;
+reg rhs_array_muxed17 = 1'd0;
+reg [21:0] rhs_array_muxed18 = 22'd0;
+reg rhs_array_muxed19 = 1'd0;
+reg rhs_array_muxed20 = 1'd0;
+reg [21:0] rhs_array_muxed21 = 22'd0;
+reg rhs_array_muxed22 = 1'd0;
+reg rhs_array_muxed23 = 1'd0;
+reg [21:0] rhs_array_muxed24 = 22'd0;
+reg rhs_array_muxed25 = 1'd0;
+reg rhs_array_muxed26 = 1'd0;
+reg [21:0] rhs_array_muxed27 = 22'd0;
+reg rhs_array_muxed28 = 1'd0;
+reg rhs_array_muxed29 = 1'd0;
+reg [21:0] rhs_array_muxed30 = 22'd0;
+reg rhs_array_muxed31 = 1'd0;
+reg rhs_array_muxed32 = 1'd0;
+reg [21:0] rhs_array_muxed33 = 22'd0;
+reg rhs_array_muxed34 = 1'd0;
+reg rhs_array_muxed35 = 1'd0;
+reg [2:0] array_muxed0 = 3'd0;
+reg [14:0] array_muxed1 = 15'd0;
+reg array_muxed2 = 1'd0;
+reg array_muxed3 = 1'd0;
+reg array_muxed4 = 1'd0;
+reg array_muxed5 = 1'd0;
+reg array_muxed6 = 1'd0;
+reg [2:0] array_muxed7 = 3'd0;
+reg [14:0] array_muxed8 = 15'd0;
+reg array_muxed9 = 1'd0;
+reg array_muxed10 = 1'd0;
+reg array_muxed11 = 1'd0;
+reg array_muxed12 = 1'd0;
+reg array_muxed13 = 1'd0;
+reg [2:0] array_muxed14 = 3'd0;
+reg [14:0] array_muxed15 = 15'd0;
+reg array_muxed16 = 1'd0;
+reg array_muxed17 = 1'd0;
+reg array_muxed18 = 1'd0;
+reg array_muxed19 = 1'd0;
+reg array_muxed20 = 1'd0;
+reg [2:0] array_muxed21 = 3'd0;
+reg [14:0] array_muxed22 = 15'd0;
+reg array_muxed23 = 1'd0;
+reg array_muxed24 = 1'd0;
+reg array_muxed25 = 1'd0;
+reg array_muxed26 = 1'd0;
+reg array_muxed27 = 1'd0;
+wire xilinxasyncresetsynchronizerimpl0;
+wire xilinxasyncresetsynchronizerimpl0_rst_meta;
+wire xilinxasyncresetsynchronizerimpl1;
+wire xilinxasyncresetsynchronizerimpl1_rst_meta;
+wire xilinxasyncresetsynchronizerimpl1_expr;
+wire xilinxasyncresetsynchronizerimpl2;
+wire xilinxasyncresetsynchronizerimpl2_rst_meta;
+wire xilinxasyncresetsynchronizerimpl2_expr;
+wire xilinxasyncresetsynchronizerimpl3;
+wire xilinxasyncresetsynchronizerimpl3_rst_meta;
// synthesis translate_off
reg dummy_s;
initial dummy_s <= 1'd0;
// synthesis translate_on
-assign soc_litedramcore_cpu_reset = soc_litedramcore_soccontroller_reset;
-assign init_done = soc_init_done_storage;
-assign init_error = soc_init_error_storage;
+assign init_done = init_done_storage;
+assign init_error = init_error_storage;
+assign csr_port_adr = csr_port0_adr;
+assign csr_port_we = csr_port0_we;
+assign csr_port_dat_w = csr_port0_dat_w;
+assign csr_port0_dat_r = csr_port_dat_r;
assign user_clk = sys_clk;
assign user_rst = sys_rst;
-assign soc_cmd_valid = user_port_native_0_cmd_valid;
-assign user_port_native_0_cmd_ready = soc_cmd_ready;
-assign soc_cmd_payload_we = user_port_native_0_cmd_we;
-assign soc_cmd_payload_addr = user_port_native_0_cmd_addr;
-assign soc_wdata_valid = user_port_native_0_wdata_valid;
-assign user_port_native_0_wdata_ready = soc_wdata_ready;
-assign soc_wdata_payload_we = user_port_native_0_wdata_we;
-assign soc_wdata_payload_data = user_port_native_0_wdata_data;
-assign user_port_native_0_rdata_valid = soc_rdata_valid;
-assign soc_rdata_ready = user_port_native_0_rdata_ready;
-assign user_port_native_0_rdata_data = soc_rdata_payload_data;
-assign soc_litedramcore_soccontroller_bus_error = vns_error;
+assign user_port_cmd_valid = user_port_native_0_cmd_valid;
+assign user_port_native_0_cmd_ready = user_port_cmd_ready;
+assign user_port_cmd_payload_we = user_port_native_0_cmd_we;
+assign user_port_cmd_payload_addr = user_port_native_0_cmd_addr;
+assign user_port_wdata_valid = user_port_native_0_wdata_valid;
+assign user_port_native_0_wdata_ready = user_port_wdata_ready;
+assign user_port_wdata_payload_we = user_port_native_0_wdata_we;
+assign user_port_wdata_payload_data = user_port_native_0_wdata_data;
+assign user_port_native_0_rdata_valid = user_port_rdata_valid;
+assign user_port_rdata_ready = user_port_native_0_rdata_ready;
+assign user_port_native_0_rdata_data = user_port_rdata_payload_data;
+assign sys_pll_reset = rst;
+assign pll_locked = sys_pll_locked;
+assign iodelay_pll_reset = rst;
+assign s7pll0_clkin = clk;
+assign sys_clk = s7pll0_clkout_buf0;
+assign sys4x_clk = s7pll0_clkout_buf1;
+assign sys4x_dqs_clk = s7pll0_clkout_buf2;
+assign s7pll1_clkin = clk;
+assign iodelay_clk = s7pll1_clkout_buf;
+assign a7ddrphy_bitslip0_i = a7ddrphy_dq_i_data0;
// synthesis translate_off
reg dummy_d;
// synthesis translate_on
always @(*) begin
- soc_litedramcore_cpu_interrupt <= 32'd0;
- soc_litedramcore_cpu_interrupt[1] <= soc_litedramcore_timer_irq;
- soc_litedramcore_cpu_interrupt[0] <= soc_litedramcore_uart_irq;
+ a7ddrphy_dfi_p0_rddata <= 32'd0;
+ a7ddrphy_dfi_p0_rddata[0] <= a7ddrphy_bitslip0_o[0];
+ a7ddrphy_dfi_p0_rddata[16] <= a7ddrphy_bitslip0_o[1];
+ a7ddrphy_dfi_p0_rddata[1] <= a7ddrphy_bitslip1_o[0];
+ a7ddrphy_dfi_p0_rddata[17] <= a7ddrphy_bitslip1_o[1];
+ a7ddrphy_dfi_p0_rddata[2] <= a7ddrphy_bitslip2_o[0];
+ a7ddrphy_dfi_p0_rddata[18] <= a7ddrphy_bitslip2_o[1];
+ a7ddrphy_dfi_p0_rddata[3] <= a7ddrphy_bitslip3_o[0];
+ a7ddrphy_dfi_p0_rddata[19] <= a7ddrphy_bitslip3_o[1];
+ a7ddrphy_dfi_p0_rddata[4] <= a7ddrphy_bitslip4_o[0];
+ a7ddrphy_dfi_p0_rddata[20] <= a7ddrphy_bitslip4_o[1];
+ a7ddrphy_dfi_p0_rddata[5] <= a7ddrphy_bitslip5_o[0];
+ a7ddrphy_dfi_p0_rddata[21] <= a7ddrphy_bitslip5_o[1];
+ a7ddrphy_dfi_p0_rddata[6] <= a7ddrphy_bitslip6_o[0];
+ a7ddrphy_dfi_p0_rddata[22] <= a7ddrphy_bitslip6_o[1];
+ a7ddrphy_dfi_p0_rddata[7] <= a7ddrphy_bitslip7_o[0];
+ a7ddrphy_dfi_p0_rddata[23] <= a7ddrphy_bitslip7_o[1];
+ a7ddrphy_dfi_p0_rddata[8] <= a7ddrphy_bitslip8_o[0];
+ a7ddrphy_dfi_p0_rddata[24] <= a7ddrphy_bitslip8_o[1];
+ a7ddrphy_dfi_p0_rddata[9] <= a7ddrphy_bitslip9_o[0];
+ a7ddrphy_dfi_p0_rddata[25] <= a7ddrphy_bitslip9_o[1];
+ a7ddrphy_dfi_p0_rddata[10] <= a7ddrphy_bitslip10_o[0];
+ a7ddrphy_dfi_p0_rddata[26] <= a7ddrphy_bitslip10_o[1];
+ a7ddrphy_dfi_p0_rddata[11] <= a7ddrphy_bitslip11_o[0];
+ a7ddrphy_dfi_p0_rddata[27] <= a7ddrphy_bitslip11_o[1];
+ a7ddrphy_dfi_p0_rddata[12] <= a7ddrphy_bitslip12_o[0];
+ a7ddrphy_dfi_p0_rddata[28] <= a7ddrphy_bitslip12_o[1];
+ a7ddrphy_dfi_p0_rddata[13] <= a7ddrphy_bitslip13_o[0];
+ a7ddrphy_dfi_p0_rddata[29] <= a7ddrphy_bitslip13_o[1];
+ a7ddrphy_dfi_p0_rddata[14] <= a7ddrphy_bitslip14_o[0];
+ a7ddrphy_dfi_p0_rddata[30] <= a7ddrphy_bitslip14_o[1];
+ a7ddrphy_dfi_p0_rddata[15] <= a7ddrphy_bitslip15_o[0];
+ a7ddrphy_dfi_p0_rddata[31] <= a7ddrphy_bitslip15_o[1];
// synthesis translate_off
dummy_d = dummy_s;
// synthesis translate_on
end
-assign soc_litedramcore_soccontroller_reset = soc_litedramcore_soccontroller_reset_re;
-assign soc_litedramcore_soccontroller_bus_errors_status = soc_litedramcore_soccontroller_bus_errors;
-assign soc_litedramcore_litedramcore_adr = soc_litedramcore_litedramcore_ram_bus_adr[12:0];
-assign soc_litedramcore_litedramcore_ram_bus_dat_r = soc_litedramcore_litedramcore_dat_r;
// synthesis translate_off
reg dummy_d_1;
// synthesis translate_on
always @(*) begin
- soc_litedramcore_ram_we <= 4'd0;
- soc_litedramcore_ram_we[0] <= (((soc_litedramcore_ram_bus_ram_bus_cyc & soc_litedramcore_ram_bus_ram_bus_stb) & soc_litedramcore_ram_bus_ram_bus_we) & soc_litedramcore_ram_bus_ram_bus_sel[0]);
- soc_litedramcore_ram_we[1] <= (((soc_litedramcore_ram_bus_ram_bus_cyc & soc_litedramcore_ram_bus_ram_bus_stb) & soc_litedramcore_ram_bus_ram_bus_we) & soc_litedramcore_ram_bus_ram_bus_sel[1]);
- soc_litedramcore_ram_we[2] <= (((soc_litedramcore_ram_bus_ram_bus_cyc & soc_litedramcore_ram_bus_ram_bus_stb) & soc_litedramcore_ram_bus_ram_bus_we) & soc_litedramcore_ram_bus_ram_bus_sel[2]);
- soc_litedramcore_ram_we[3] <= (((soc_litedramcore_ram_bus_ram_bus_cyc & soc_litedramcore_ram_bus_ram_bus_stb) & soc_litedramcore_ram_bus_ram_bus_we) & soc_litedramcore_ram_bus_ram_bus_sel[3]);
+ a7ddrphy_dfi_p1_rddata <= 32'd0;
+ a7ddrphy_dfi_p1_rddata[0] <= a7ddrphy_bitslip0_o[2];
+ a7ddrphy_dfi_p1_rddata[16] <= a7ddrphy_bitslip0_o[3];
+ a7ddrphy_dfi_p1_rddata[1] <= a7ddrphy_bitslip1_o[2];
+ a7ddrphy_dfi_p1_rddata[17] <= a7ddrphy_bitslip1_o[3];
+ a7ddrphy_dfi_p1_rddata[2] <= a7ddrphy_bitslip2_o[2];
+ a7ddrphy_dfi_p1_rddata[18] <= a7ddrphy_bitslip2_o[3];
+ a7ddrphy_dfi_p1_rddata[3] <= a7ddrphy_bitslip3_o[2];
+ a7ddrphy_dfi_p1_rddata[19] <= a7ddrphy_bitslip3_o[3];
+ a7ddrphy_dfi_p1_rddata[4] <= a7ddrphy_bitslip4_o[2];
+ a7ddrphy_dfi_p1_rddata[20] <= a7ddrphy_bitslip4_o[3];
+ a7ddrphy_dfi_p1_rddata[5] <= a7ddrphy_bitslip5_o[2];
+ a7ddrphy_dfi_p1_rddata[21] <= a7ddrphy_bitslip5_o[3];
+ a7ddrphy_dfi_p1_rddata[6] <= a7ddrphy_bitslip6_o[2];
+ a7ddrphy_dfi_p1_rddata[22] <= a7ddrphy_bitslip6_o[3];
+ a7ddrphy_dfi_p1_rddata[7] <= a7ddrphy_bitslip7_o[2];
+ a7ddrphy_dfi_p1_rddata[23] <= a7ddrphy_bitslip7_o[3];
+ a7ddrphy_dfi_p1_rddata[8] <= a7ddrphy_bitslip8_o[2];
+ a7ddrphy_dfi_p1_rddata[24] <= a7ddrphy_bitslip8_o[3];
+ a7ddrphy_dfi_p1_rddata[9] <= a7ddrphy_bitslip9_o[2];
+ a7ddrphy_dfi_p1_rddata[25] <= a7ddrphy_bitslip9_o[3];
+ a7ddrphy_dfi_p1_rddata[10] <= a7ddrphy_bitslip10_o[2];
+ a7ddrphy_dfi_p1_rddata[26] <= a7ddrphy_bitslip10_o[3];
+ a7ddrphy_dfi_p1_rddata[11] <= a7ddrphy_bitslip11_o[2];
+ a7ddrphy_dfi_p1_rddata[27] <= a7ddrphy_bitslip11_o[3];
+ a7ddrphy_dfi_p1_rddata[12] <= a7ddrphy_bitslip12_o[2];
+ a7ddrphy_dfi_p1_rddata[28] <= a7ddrphy_bitslip12_o[3];
+ a7ddrphy_dfi_p1_rddata[13] <= a7ddrphy_bitslip13_o[2];
+ a7ddrphy_dfi_p1_rddata[29] <= a7ddrphy_bitslip13_o[3];
+ a7ddrphy_dfi_p1_rddata[14] <= a7ddrphy_bitslip14_o[2];
+ a7ddrphy_dfi_p1_rddata[30] <= a7ddrphy_bitslip14_o[3];
+ a7ddrphy_dfi_p1_rddata[15] <= a7ddrphy_bitslip15_o[2];
+ a7ddrphy_dfi_p1_rddata[31] <= a7ddrphy_bitslip15_o[3];
// synthesis translate_off
dummy_d_1 = dummy_s;
// synthesis translate_on
end
-assign soc_litedramcore_ram_adr = soc_litedramcore_ram_bus_ram_bus_adr[9:0];
-assign soc_litedramcore_ram_bus_ram_bus_dat_r = soc_litedramcore_ram_dat_r;
-assign soc_litedramcore_ram_dat_w = soc_litedramcore_ram_bus_ram_bus_dat_w;
-assign soc_litedramcore_uart_uart_sink_valid = soc_litedramcore_source_valid;
-assign soc_litedramcore_source_ready = soc_litedramcore_uart_uart_sink_ready;
-assign soc_litedramcore_uart_uart_sink_first = soc_litedramcore_source_first;
-assign soc_litedramcore_uart_uart_sink_last = soc_litedramcore_source_last;
-assign soc_litedramcore_uart_uart_sink_payload_data = soc_litedramcore_source_payload_data;
-assign soc_litedramcore_sink_valid = soc_litedramcore_uart_uart_source_valid;
-assign soc_litedramcore_uart_uart_source_ready = soc_litedramcore_sink_ready;
-assign soc_litedramcore_sink_first = soc_litedramcore_uart_uart_source_first;
-assign soc_litedramcore_sink_last = soc_litedramcore_uart_uart_source_last;
-assign soc_litedramcore_sink_payload_data = soc_litedramcore_uart_uart_source_payload_data;
-assign soc_litedramcore_uart_tx_fifo_sink_valid = soc_litedramcore_uart_rxtx_re;
-assign soc_litedramcore_uart_tx_fifo_sink_payload_data = soc_litedramcore_uart_rxtx_r;
-assign soc_litedramcore_uart_txfull_status = (~soc_litedramcore_uart_tx_fifo_sink_ready);
-assign soc_litedramcore_uart_uart_source_valid = soc_litedramcore_uart_tx_fifo_source_valid;
-assign soc_litedramcore_uart_tx_fifo_source_ready = soc_litedramcore_uart_uart_source_ready;
-assign soc_litedramcore_uart_uart_source_first = soc_litedramcore_uart_tx_fifo_source_first;
-assign soc_litedramcore_uart_uart_source_last = soc_litedramcore_uart_tx_fifo_source_last;
-assign soc_litedramcore_uart_uart_source_payload_data = soc_litedramcore_uart_tx_fifo_source_payload_data;
-assign soc_litedramcore_uart_tx_trigger = (~soc_litedramcore_uart_tx_fifo_sink_ready);
-assign soc_litedramcore_uart_rx_fifo_sink_valid = soc_litedramcore_uart_uart_sink_valid;
-assign soc_litedramcore_uart_uart_sink_ready = soc_litedramcore_uart_rx_fifo_sink_ready;
-assign soc_litedramcore_uart_rx_fifo_sink_first = soc_litedramcore_uart_uart_sink_first;
-assign soc_litedramcore_uart_rx_fifo_sink_last = soc_litedramcore_uart_uart_sink_last;
-assign soc_litedramcore_uart_rx_fifo_sink_payload_data = soc_litedramcore_uart_uart_sink_payload_data;
-assign soc_litedramcore_uart_rxempty_status = (~soc_litedramcore_uart_rx_fifo_source_valid);
-assign soc_litedramcore_uart_rxtx_w = soc_litedramcore_uart_rx_fifo_source_payload_data;
-assign soc_litedramcore_uart_rx_fifo_source_ready = (soc_litedramcore_uart_rx_clear | (1'd0 & soc_litedramcore_uart_rxtx_we));
-assign soc_litedramcore_uart_rx_trigger = (~soc_litedramcore_uart_rx_fifo_source_valid);
// synthesis translate_off
reg dummy_d_2;
// synthesis translate_on
always @(*) begin
- soc_litedramcore_uart_eventmanager_status_w <= 2'd0;
- soc_litedramcore_uart_eventmanager_status_w[0] <= soc_litedramcore_uart_tx_status;
- soc_litedramcore_uart_eventmanager_status_w[1] <= soc_litedramcore_uart_rx_status;
+ a7ddrphy_dfi_p2_rddata <= 32'd0;
+ a7ddrphy_dfi_p2_rddata[0] <= a7ddrphy_bitslip0_o[4];
+ a7ddrphy_dfi_p2_rddata[16] <= a7ddrphy_bitslip0_o[5];
+ a7ddrphy_dfi_p2_rddata[1] <= a7ddrphy_bitslip1_o[4];
+ a7ddrphy_dfi_p2_rddata[17] <= a7ddrphy_bitslip1_o[5];
+ a7ddrphy_dfi_p2_rddata[2] <= a7ddrphy_bitslip2_o[4];
+ a7ddrphy_dfi_p2_rddata[18] <= a7ddrphy_bitslip2_o[5];
+ a7ddrphy_dfi_p2_rddata[3] <= a7ddrphy_bitslip3_o[4];
+ a7ddrphy_dfi_p2_rddata[19] <= a7ddrphy_bitslip3_o[5];
+ a7ddrphy_dfi_p2_rddata[4] <= a7ddrphy_bitslip4_o[4];
+ a7ddrphy_dfi_p2_rddata[20] <= a7ddrphy_bitslip4_o[5];
+ a7ddrphy_dfi_p2_rddata[5] <= a7ddrphy_bitslip5_o[4];
+ a7ddrphy_dfi_p2_rddata[21] <= a7ddrphy_bitslip5_o[5];
+ a7ddrphy_dfi_p2_rddata[6] <= a7ddrphy_bitslip6_o[4];
+ a7ddrphy_dfi_p2_rddata[22] <= a7ddrphy_bitslip6_o[5];
+ a7ddrphy_dfi_p2_rddata[7] <= a7ddrphy_bitslip7_o[4];
+ a7ddrphy_dfi_p2_rddata[23] <= a7ddrphy_bitslip7_o[5];
+ a7ddrphy_dfi_p2_rddata[8] <= a7ddrphy_bitslip8_o[4];
+ a7ddrphy_dfi_p2_rddata[24] <= a7ddrphy_bitslip8_o[5];
+ a7ddrphy_dfi_p2_rddata[9] <= a7ddrphy_bitslip9_o[4];
+ a7ddrphy_dfi_p2_rddata[25] <= a7ddrphy_bitslip9_o[5];
+ a7ddrphy_dfi_p2_rddata[10] <= a7ddrphy_bitslip10_o[4];
+ a7ddrphy_dfi_p2_rddata[26] <= a7ddrphy_bitslip10_o[5];
+ a7ddrphy_dfi_p2_rddata[11] <= a7ddrphy_bitslip11_o[4];
+ a7ddrphy_dfi_p2_rddata[27] <= a7ddrphy_bitslip11_o[5];
+ a7ddrphy_dfi_p2_rddata[12] <= a7ddrphy_bitslip12_o[4];
+ a7ddrphy_dfi_p2_rddata[28] <= a7ddrphy_bitslip12_o[5];
+ a7ddrphy_dfi_p2_rddata[13] <= a7ddrphy_bitslip13_o[4];
+ a7ddrphy_dfi_p2_rddata[29] <= a7ddrphy_bitslip13_o[5];
+ a7ddrphy_dfi_p2_rddata[14] <= a7ddrphy_bitslip14_o[4];
+ a7ddrphy_dfi_p2_rddata[30] <= a7ddrphy_bitslip14_o[5];
+ a7ddrphy_dfi_p2_rddata[15] <= a7ddrphy_bitslip15_o[4];
+ a7ddrphy_dfi_p2_rddata[31] <= a7ddrphy_bitslip15_o[5];
// synthesis translate_off
dummy_d_2 = dummy_s;
// synthesis translate_on
reg dummy_d_3;
// synthesis translate_on
always @(*) begin
- soc_litedramcore_uart_tx_clear <= 1'd0;
- if ((soc_litedramcore_uart_eventmanager_pending_re & soc_litedramcore_uart_eventmanager_pending_r[0])) begin
- soc_litedramcore_uart_tx_clear <= 1'd1;
- end
+ a7ddrphy_dfi_p3_rddata <= 32'd0;
+ a7ddrphy_dfi_p3_rddata[0] <= a7ddrphy_bitslip0_o[6];
+ a7ddrphy_dfi_p3_rddata[16] <= a7ddrphy_bitslip0_o[7];
+ a7ddrphy_dfi_p3_rddata[1] <= a7ddrphy_bitslip1_o[6];
+ a7ddrphy_dfi_p3_rddata[17] <= a7ddrphy_bitslip1_o[7];
+ a7ddrphy_dfi_p3_rddata[2] <= a7ddrphy_bitslip2_o[6];
+ a7ddrphy_dfi_p3_rddata[18] <= a7ddrphy_bitslip2_o[7];
+ a7ddrphy_dfi_p3_rddata[3] <= a7ddrphy_bitslip3_o[6];
+ a7ddrphy_dfi_p3_rddata[19] <= a7ddrphy_bitslip3_o[7];
+ a7ddrphy_dfi_p3_rddata[4] <= a7ddrphy_bitslip4_o[6];
+ a7ddrphy_dfi_p3_rddata[20] <= a7ddrphy_bitslip4_o[7];
+ a7ddrphy_dfi_p3_rddata[5] <= a7ddrphy_bitslip5_o[6];
+ a7ddrphy_dfi_p3_rddata[21] <= a7ddrphy_bitslip5_o[7];
+ a7ddrphy_dfi_p3_rddata[6] <= a7ddrphy_bitslip6_o[6];
+ a7ddrphy_dfi_p3_rddata[22] <= a7ddrphy_bitslip6_o[7];
+ a7ddrphy_dfi_p3_rddata[7] <= a7ddrphy_bitslip7_o[6];
+ a7ddrphy_dfi_p3_rddata[23] <= a7ddrphy_bitslip7_o[7];
+ a7ddrphy_dfi_p3_rddata[8] <= a7ddrphy_bitslip8_o[6];
+ a7ddrphy_dfi_p3_rddata[24] <= a7ddrphy_bitslip8_o[7];
+ a7ddrphy_dfi_p3_rddata[9] <= a7ddrphy_bitslip9_o[6];
+ a7ddrphy_dfi_p3_rddata[25] <= a7ddrphy_bitslip9_o[7];
+ a7ddrphy_dfi_p3_rddata[10] <= a7ddrphy_bitslip10_o[6];
+ a7ddrphy_dfi_p3_rddata[26] <= a7ddrphy_bitslip10_o[7];
+ a7ddrphy_dfi_p3_rddata[11] <= a7ddrphy_bitslip11_o[6];
+ a7ddrphy_dfi_p3_rddata[27] <= a7ddrphy_bitslip11_o[7];
+ a7ddrphy_dfi_p3_rddata[12] <= a7ddrphy_bitslip12_o[6];
+ a7ddrphy_dfi_p3_rddata[28] <= a7ddrphy_bitslip12_o[7];
+ a7ddrphy_dfi_p3_rddata[13] <= a7ddrphy_bitslip13_o[6];
+ a7ddrphy_dfi_p3_rddata[29] <= a7ddrphy_bitslip13_o[7];
+ a7ddrphy_dfi_p3_rddata[14] <= a7ddrphy_bitslip14_o[6];
+ a7ddrphy_dfi_p3_rddata[30] <= a7ddrphy_bitslip14_o[7];
+ a7ddrphy_dfi_p3_rddata[15] <= a7ddrphy_bitslip15_o[6];
+ a7ddrphy_dfi_p3_rddata[31] <= a7ddrphy_bitslip15_o[7];
// synthesis translate_off
dummy_d_3 = dummy_s;
// synthesis translate_on
end
+assign a7ddrphy_bitslip1_i = a7ddrphy_dq_i_data1;
+assign a7ddrphy_bitslip2_i = a7ddrphy_dq_i_data2;
+assign a7ddrphy_bitslip3_i = a7ddrphy_dq_i_data3;
+assign a7ddrphy_bitslip4_i = a7ddrphy_dq_i_data4;
+assign a7ddrphy_bitslip5_i = a7ddrphy_dq_i_data5;
+assign a7ddrphy_bitslip6_i = a7ddrphy_dq_i_data6;
+assign a7ddrphy_bitslip7_i = a7ddrphy_dq_i_data7;
+assign a7ddrphy_bitslip8_i = a7ddrphy_dq_i_data8;
+assign a7ddrphy_bitslip9_i = a7ddrphy_dq_i_data9;
+assign a7ddrphy_bitslip10_i = a7ddrphy_dq_i_data10;
+assign a7ddrphy_bitslip11_i = a7ddrphy_dq_i_data11;
+assign a7ddrphy_bitslip12_i = a7ddrphy_dq_i_data12;
+assign a7ddrphy_bitslip13_i = a7ddrphy_dq_i_data13;
+assign a7ddrphy_bitslip14_i = a7ddrphy_dq_i_data14;
+assign a7ddrphy_bitslip15_i = a7ddrphy_dq_i_data15;
+assign a7ddrphy_rddata_en = {a7ddrphy_rddata_en_last, a7ddrphy_dfi_p2_rddata_en};
+assign a7ddrphy_wrdata_en = {a7ddrphy_wrdata_en_last, a7ddrphy_dfi_p3_wrdata_en};
+assign a7ddrphy_dq_oe = a7ddrphy_wrdata_en[2];
// synthesis translate_off
reg dummy_d_4;
// synthesis translate_on
always @(*) begin
- soc_litedramcore_uart_eventmanager_pending_w <= 2'd0;
- soc_litedramcore_uart_eventmanager_pending_w[0] <= soc_litedramcore_uart_tx_pending;
- soc_litedramcore_uart_eventmanager_pending_w[1] <= soc_litedramcore_uart_rx_pending;
-// synthesis translate_off
- dummy_d_4 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_5;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_uart_rx_clear <= 1'd0;
- if ((soc_litedramcore_uart_eventmanager_pending_re & soc_litedramcore_uart_eventmanager_pending_r[1])) begin
- soc_litedramcore_uart_rx_clear <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_5 = dummy_s;
-// synthesis translate_on
-end
-assign soc_litedramcore_uart_irq = ((soc_litedramcore_uart_eventmanager_pending_w[0] & soc_litedramcore_uart_eventmanager_storage[0]) | (soc_litedramcore_uart_eventmanager_pending_w[1] & soc_litedramcore_uart_eventmanager_storage[1]));
-assign soc_litedramcore_uart_tx_status = soc_litedramcore_uart_tx_trigger;
-assign soc_litedramcore_uart_rx_status = soc_litedramcore_uart_rx_trigger;
-assign soc_litedramcore_uart_tx_fifo_syncfifo_din = {soc_litedramcore_uart_tx_fifo_fifo_in_last, soc_litedramcore_uart_tx_fifo_fifo_in_first, soc_litedramcore_uart_tx_fifo_fifo_in_payload_data};
-assign {soc_litedramcore_uart_tx_fifo_fifo_out_last, soc_litedramcore_uart_tx_fifo_fifo_out_first, soc_litedramcore_uart_tx_fifo_fifo_out_payload_data} = soc_litedramcore_uart_tx_fifo_syncfifo_dout;
-assign {soc_litedramcore_uart_tx_fifo_fifo_out_last, soc_litedramcore_uart_tx_fifo_fifo_out_first, soc_litedramcore_uart_tx_fifo_fifo_out_payload_data} = soc_litedramcore_uart_tx_fifo_syncfifo_dout;
-assign {soc_litedramcore_uart_tx_fifo_fifo_out_last, soc_litedramcore_uart_tx_fifo_fifo_out_first, soc_litedramcore_uart_tx_fifo_fifo_out_payload_data} = soc_litedramcore_uart_tx_fifo_syncfifo_dout;
-assign soc_litedramcore_uart_tx_fifo_sink_ready = soc_litedramcore_uart_tx_fifo_syncfifo_writable;
-assign soc_litedramcore_uart_tx_fifo_syncfifo_we = soc_litedramcore_uart_tx_fifo_sink_valid;
-assign soc_litedramcore_uart_tx_fifo_fifo_in_first = soc_litedramcore_uart_tx_fifo_sink_first;
-assign soc_litedramcore_uart_tx_fifo_fifo_in_last = soc_litedramcore_uart_tx_fifo_sink_last;
-assign soc_litedramcore_uart_tx_fifo_fifo_in_payload_data = soc_litedramcore_uart_tx_fifo_sink_payload_data;
-assign soc_litedramcore_uart_tx_fifo_source_valid = soc_litedramcore_uart_tx_fifo_readable;
-assign soc_litedramcore_uart_tx_fifo_source_first = soc_litedramcore_uart_tx_fifo_fifo_out_first;
-assign soc_litedramcore_uart_tx_fifo_source_last = soc_litedramcore_uart_tx_fifo_fifo_out_last;
-assign soc_litedramcore_uart_tx_fifo_source_payload_data = soc_litedramcore_uart_tx_fifo_fifo_out_payload_data;
-assign soc_litedramcore_uart_tx_fifo_re = soc_litedramcore_uart_tx_fifo_source_ready;
-assign soc_litedramcore_uart_tx_fifo_syncfifo_re = (soc_litedramcore_uart_tx_fifo_syncfifo_readable & ((~soc_litedramcore_uart_tx_fifo_readable) | soc_litedramcore_uart_tx_fifo_re));
-assign soc_litedramcore_uart_tx_fifo_level1 = (soc_litedramcore_uart_tx_fifo_level0 + soc_litedramcore_uart_tx_fifo_readable);
-
-// synthesis translate_off
-reg dummy_d_6;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_uart_tx_fifo_wrport_adr <= 4'd0;
- if (soc_litedramcore_uart_tx_fifo_replace) begin
- soc_litedramcore_uart_tx_fifo_wrport_adr <= (soc_litedramcore_uart_tx_fifo_produce - 1'd1);
- end else begin
- soc_litedramcore_uart_tx_fifo_wrport_adr <= soc_litedramcore_uart_tx_fifo_produce;
- end
-// synthesis translate_off
- dummy_d_6 = dummy_s;
-// synthesis translate_on
-end
-assign soc_litedramcore_uart_tx_fifo_wrport_dat_w = soc_litedramcore_uart_tx_fifo_syncfifo_din;
-assign soc_litedramcore_uart_tx_fifo_wrport_we = (soc_litedramcore_uart_tx_fifo_syncfifo_we & (soc_litedramcore_uart_tx_fifo_syncfifo_writable | soc_litedramcore_uart_tx_fifo_replace));
-assign soc_litedramcore_uart_tx_fifo_do_read = (soc_litedramcore_uart_tx_fifo_syncfifo_readable & soc_litedramcore_uart_tx_fifo_syncfifo_re);
-assign soc_litedramcore_uart_tx_fifo_rdport_adr = soc_litedramcore_uart_tx_fifo_consume;
-assign soc_litedramcore_uart_tx_fifo_syncfifo_dout = soc_litedramcore_uart_tx_fifo_rdport_dat_r;
-assign soc_litedramcore_uart_tx_fifo_rdport_re = soc_litedramcore_uart_tx_fifo_do_read;
-assign soc_litedramcore_uart_tx_fifo_syncfifo_writable = (soc_litedramcore_uart_tx_fifo_level0 != 5'd16);
-assign soc_litedramcore_uart_tx_fifo_syncfifo_readable = (soc_litedramcore_uart_tx_fifo_level0 != 1'd0);
-assign soc_litedramcore_uart_rx_fifo_syncfifo_din = {soc_litedramcore_uart_rx_fifo_fifo_in_last, soc_litedramcore_uart_rx_fifo_fifo_in_first, soc_litedramcore_uart_rx_fifo_fifo_in_payload_data};
-assign {soc_litedramcore_uart_rx_fifo_fifo_out_last, soc_litedramcore_uart_rx_fifo_fifo_out_first, soc_litedramcore_uart_rx_fifo_fifo_out_payload_data} = soc_litedramcore_uart_rx_fifo_syncfifo_dout;
-assign {soc_litedramcore_uart_rx_fifo_fifo_out_last, soc_litedramcore_uart_rx_fifo_fifo_out_first, soc_litedramcore_uart_rx_fifo_fifo_out_payload_data} = soc_litedramcore_uart_rx_fifo_syncfifo_dout;
-assign {soc_litedramcore_uart_rx_fifo_fifo_out_last, soc_litedramcore_uart_rx_fifo_fifo_out_first, soc_litedramcore_uart_rx_fifo_fifo_out_payload_data} = soc_litedramcore_uart_rx_fifo_syncfifo_dout;
-assign soc_litedramcore_uart_rx_fifo_sink_ready = soc_litedramcore_uart_rx_fifo_syncfifo_writable;
-assign soc_litedramcore_uart_rx_fifo_syncfifo_we = soc_litedramcore_uart_rx_fifo_sink_valid;
-assign soc_litedramcore_uart_rx_fifo_fifo_in_first = soc_litedramcore_uart_rx_fifo_sink_first;
-assign soc_litedramcore_uart_rx_fifo_fifo_in_last = soc_litedramcore_uart_rx_fifo_sink_last;
-assign soc_litedramcore_uart_rx_fifo_fifo_in_payload_data = soc_litedramcore_uart_rx_fifo_sink_payload_data;
-assign soc_litedramcore_uart_rx_fifo_source_valid = soc_litedramcore_uart_rx_fifo_readable;
-assign soc_litedramcore_uart_rx_fifo_source_first = soc_litedramcore_uart_rx_fifo_fifo_out_first;
-assign soc_litedramcore_uart_rx_fifo_source_last = soc_litedramcore_uart_rx_fifo_fifo_out_last;
-assign soc_litedramcore_uart_rx_fifo_source_payload_data = soc_litedramcore_uart_rx_fifo_fifo_out_payload_data;
-assign soc_litedramcore_uart_rx_fifo_re = soc_litedramcore_uart_rx_fifo_source_ready;
-assign soc_litedramcore_uart_rx_fifo_syncfifo_re = (soc_litedramcore_uart_rx_fifo_syncfifo_readable & ((~soc_litedramcore_uart_rx_fifo_readable) | soc_litedramcore_uart_rx_fifo_re));
-assign soc_litedramcore_uart_rx_fifo_level1 = (soc_litedramcore_uart_rx_fifo_level0 + soc_litedramcore_uart_rx_fifo_readable);
-
-// synthesis translate_off
-reg dummy_d_7;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_uart_rx_fifo_wrport_adr <= 4'd0;
- if (soc_litedramcore_uart_rx_fifo_replace) begin
- soc_litedramcore_uart_rx_fifo_wrport_adr <= (soc_litedramcore_uart_rx_fifo_produce - 1'd1);
- end else begin
- soc_litedramcore_uart_rx_fifo_wrport_adr <= soc_litedramcore_uart_rx_fifo_produce;
- end
-// synthesis translate_off
- dummy_d_7 = dummy_s;
-// synthesis translate_on
-end
-assign soc_litedramcore_uart_rx_fifo_wrport_dat_w = soc_litedramcore_uart_rx_fifo_syncfifo_din;
-assign soc_litedramcore_uart_rx_fifo_wrport_we = (soc_litedramcore_uart_rx_fifo_syncfifo_we & (soc_litedramcore_uart_rx_fifo_syncfifo_writable | soc_litedramcore_uart_rx_fifo_replace));
-assign soc_litedramcore_uart_rx_fifo_do_read = (soc_litedramcore_uart_rx_fifo_syncfifo_readable & soc_litedramcore_uart_rx_fifo_syncfifo_re);
-assign soc_litedramcore_uart_rx_fifo_rdport_adr = soc_litedramcore_uart_rx_fifo_consume;
-assign soc_litedramcore_uart_rx_fifo_syncfifo_dout = soc_litedramcore_uart_rx_fifo_rdport_dat_r;
-assign soc_litedramcore_uart_rx_fifo_rdport_re = soc_litedramcore_uart_rx_fifo_do_read;
-assign soc_litedramcore_uart_rx_fifo_syncfifo_writable = (soc_litedramcore_uart_rx_fifo_level0 != 5'd16);
-assign soc_litedramcore_uart_rx_fifo_syncfifo_readable = (soc_litedramcore_uart_rx_fifo_level0 != 1'd0);
-assign soc_litedramcore_timer_zero_trigger = (soc_litedramcore_timer_value != 1'd0);
-assign soc_litedramcore_timer_eventmanager_status_w = soc_litedramcore_timer_zero_status;
-
-// synthesis translate_off
-reg dummy_d_8;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_timer_zero_clear <= 1'd0;
- if ((soc_litedramcore_timer_eventmanager_pending_re & soc_litedramcore_timer_eventmanager_pending_r)) begin
- soc_litedramcore_timer_zero_clear <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_8 = dummy_s;
-// synthesis translate_on
-end
-assign soc_litedramcore_timer_eventmanager_pending_w = soc_litedramcore_timer_zero_pending;
-assign soc_litedramcore_timer_irq = (soc_litedramcore_timer_eventmanager_pending_w & soc_litedramcore_timer_eventmanager_storage);
-assign soc_litedramcore_timer_zero_status = soc_litedramcore_timer_zero_trigger;
-assign soc_litedramcore_interface_dat_w = soc_litedramcore_bus_wishbone_dat_w;
-assign soc_litedramcore_bus_wishbone_dat_r = soc_litedramcore_interface_dat_r;
-
-// synthesis translate_off
-reg dummy_d_9;
-// synthesis translate_on
-always @(*) begin
- vns_wb2csr_next_state <= 1'd0;
- vns_wb2csr_next_state <= vns_wb2csr_state;
- case (vns_wb2csr_state)
- 1'd1: begin
- vns_wb2csr_next_state <= 1'd0;
- end
- default: begin
- if ((soc_litedramcore_bus_wishbone_cyc & soc_litedramcore_bus_wishbone_stb)) begin
- vns_wb2csr_next_state <= 1'd1;
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_9 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_10;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_interface_adr <= 14'd0;
- case (vns_wb2csr_state)
- 1'd1: begin
- end
- default: begin
- if ((soc_litedramcore_bus_wishbone_cyc & soc_litedramcore_bus_wishbone_stb)) begin
- soc_litedramcore_interface_adr <= soc_litedramcore_bus_wishbone_adr;
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_10 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_11;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_interface_we <= 1'd0;
- case (vns_wb2csr_state)
- 1'd1: begin
- end
- default: begin
- if ((soc_litedramcore_bus_wishbone_cyc & soc_litedramcore_bus_wishbone_stb)) begin
- soc_litedramcore_interface_we <= soc_litedramcore_bus_wishbone_we;
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_11 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_12;
-// synthesis translate_on
-always @(*) begin
- soc_litedramcore_bus_wishbone_ack <= 1'd0;
- case (vns_wb2csr_state)
- 1'd1: begin
- soc_litedramcore_bus_wishbone_ack <= 1'd1;
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_12 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sys_pll_reset = rst;
-assign pll_locked = soc_sys_pll_locked;
-assign soc_iodelay_pll_reset = rst;
-assign soc_s7pll0_clkin = clk;
-assign sys_clk = soc_s7pll0_clkout_buf0;
-assign sys4x_clk = soc_s7pll0_clkout_buf1;
-assign sys4x_dqs_clk = soc_s7pll0_clkout_buf2;
-assign soc_s7pll1_clkin = clk;
-assign iodelay_clk = soc_s7pll1_clkout_buf;
-assign soc_a7ddrphy_bitslip0_i = soc_a7ddrphy_dq_i_data0;
-
-// synthesis translate_off
-reg dummy_d_13;
-// synthesis translate_on
-always @(*) begin
- soc_a7ddrphy_dfi_p0_rddata <= 32'd0;
- soc_a7ddrphy_dfi_p0_rddata[0] <= soc_a7ddrphy_bitslip0_o[0];
- soc_a7ddrphy_dfi_p0_rddata[16] <= soc_a7ddrphy_bitslip0_o[1];
- soc_a7ddrphy_dfi_p0_rddata[1] <= soc_a7ddrphy_bitslip1_o[0];
- soc_a7ddrphy_dfi_p0_rddata[17] <= soc_a7ddrphy_bitslip1_o[1];
- soc_a7ddrphy_dfi_p0_rddata[2] <= soc_a7ddrphy_bitslip2_o[0];
- soc_a7ddrphy_dfi_p0_rddata[18] <= soc_a7ddrphy_bitslip2_o[1];
- soc_a7ddrphy_dfi_p0_rddata[3] <= soc_a7ddrphy_bitslip3_o[0];
- soc_a7ddrphy_dfi_p0_rddata[19] <= soc_a7ddrphy_bitslip3_o[1];
- soc_a7ddrphy_dfi_p0_rddata[4] <= soc_a7ddrphy_bitslip4_o[0];
- soc_a7ddrphy_dfi_p0_rddata[20] <= soc_a7ddrphy_bitslip4_o[1];
- soc_a7ddrphy_dfi_p0_rddata[5] <= soc_a7ddrphy_bitslip5_o[0];
- soc_a7ddrphy_dfi_p0_rddata[21] <= soc_a7ddrphy_bitslip5_o[1];
- soc_a7ddrphy_dfi_p0_rddata[6] <= soc_a7ddrphy_bitslip6_o[0];
- soc_a7ddrphy_dfi_p0_rddata[22] <= soc_a7ddrphy_bitslip6_o[1];
- soc_a7ddrphy_dfi_p0_rddata[7] <= soc_a7ddrphy_bitslip7_o[0];
- soc_a7ddrphy_dfi_p0_rddata[23] <= soc_a7ddrphy_bitslip7_o[1];
- soc_a7ddrphy_dfi_p0_rddata[8] <= soc_a7ddrphy_bitslip8_o[0];
- soc_a7ddrphy_dfi_p0_rddata[24] <= soc_a7ddrphy_bitslip8_o[1];
- soc_a7ddrphy_dfi_p0_rddata[9] <= soc_a7ddrphy_bitslip9_o[0];
- soc_a7ddrphy_dfi_p0_rddata[25] <= soc_a7ddrphy_bitslip9_o[1];
- soc_a7ddrphy_dfi_p0_rddata[10] <= soc_a7ddrphy_bitslip10_o[0];
- soc_a7ddrphy_dfi_p0_rddata[26] <= soc_a7ddrphy_bitslip10_o[1];
- soc_a7ddrphy_dfi_p0_rddata[11] <= soc_a7ddrphy_bitslip11_o[0];
- soc_a7ddrphy_dfi_p0_rddata[27] <= soc_a7ddrphy_bitslip11_o[1];
- soc_a7ddrphy_dfi_p0_rddata[12] <= soc_a7ddrphy_bitslip12_o[0];
- soc_a7ddrphy_dfi_p0_rddata[28] <= soc_a7ddrphy_bitslip12_o[1];
- soc_a7ddrphy_dfi_p0_rddata[13] <= soc_a7ddrphy_bitslip13_o[0];
- soc_a7ddrphy_dfi_p0_rddata[29] <= soc_a7ddrphy_bitslip13_o[1];
- soc_a7ddrphy_dfi_p0_rddata[14] <= soc_a7ddrphy_bitslip14_o[0];
- soc_a7ddrphy_dfi_p0_rddata[30] <= soc_a7ddrphy_bitslip14_o[1];
- soc_a7ddrphy_dfi_p0_rddata[15] <= soc_a7ddrphy_bitslip15_o[0];
- soc_a7ddrphy_dfi_p0_rddata[31] <= soc_a7ddrphy_bitslip15_o[1];
-// synthesis translate_off
- dummy_d_13 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_14;
-// synthesis translate_on
-always @(*) begin
- soc_a7ddrphy_dfi_p1_rddata <= 32'd0;
- soc_a7ddrphy_dfi_p1_rddata[0] <= soc_a7ddrphy_bitslip0_o[2];
- soc_a7ddrphy_dfi_p1_rddata[16] <= soc_a7ddrphy_bitslip0_o[3];
- soc_a7ddrphy_dfi_p1_rddata[1] <= soc_a7ddrphy_bitslip1_o[2];
- soc_a7ddrphy_dfi_p1_rddata[17] <= soc_a7ddrphy_bitslip1_o[3];
- soc_a7ddrphy_dfi_p1_rddata[2] <= soc_a7ddrphy_bitslip2_o[2];
- soc_a7ddrphy_dfi_p1_rddata[18] <= soc_a7ddrphy_bitslip2_o[3];
- soc_a7ddrphy_dfi_p1_rddata[3] <= soc_a7ddrphy_bitslip3_o[2];
- soc_a7ddrphy_dfi_p1_rddata[19] <= soc_a7ddrphy_bitslip3_o[3];
- soc_a7ddrphy_dfi_p1_rddata[4] <= soc_a7ddrphy_bitslip4_o[2];
- soc_a7ddrphy_dfi_p1_rddata[20] <= soc_a7ddrphy_bitslip4_o[3];
- soc_a7ddrphy_dfi_p1_rddata[5] <= soc_a7ddrphy_bitslip5_o[2];
- soc_a7ddrphy_dfi_p1_rddata[21] <= soc_a7ddrphy_bitslip5_o[3];
- soc_a7ddrphy_dfi_p1_rddata[6] <= soc_a7ddrphy_bitslip6_o[2];
- soc_a7ddrphy_dfi_p1_rddata[22] <= soc_a7ddrphy_bitslip6_o[3];
- soc_a7ddrphy_dfi_p1_rddata[7] <= soc_a7ddrphy_bitslip7_o[2];
- soc_a7ddrphy_dfi_p1_rddata[23] <= soc_a7ddrphy_bitslip7_o[3];
- soc_a7ddrphy_dfi_p1_rddata[8] <= soc_a7ddrphy_bitslip8_o[2];
- soc_a7ddrphy_dfi_p1_rddata[24] <= soc_a7ddrphy_bitslip8_o[3];
- soc_a7ddrphy_dfi_p1_rddata[9] <= soc_a7ddrphy_bitslip9_o[2];
- soc_a7ddrphy_dfi_p1_rddata[25] <= soc_a7ddrphy_bitslip9_o[3];
- soc_a7ddrphy_dfi_p1_rddata[10] <= soc_a7ddrphy_bitslip10_o[2];
- soc_a7ddrphy_dfi_p1_rddata[26] <= soc_a7ddrphy_bitslip10_o[3];
- soc_a7ddrphy_dfi_p1_rddata[11] <= soc_a7ddrphy_bitslip11_o[2];
- soc_a7ddrphy_dfi_p1_rddata[27] <= soc_a7ddrphy_bitslip11_o[3];
- soc_a7ddrphy_dfi_p1_rddata[12] <= soc_a7ddrphy_bitslip12_o[2];
- soc_a7ddrphy_dfi_p1_rddata[28] <= soc_a7ddrphy_bitslip12_o[3];
- soc_a7ddrphy_dfi_p1_rddata[13] <= soc_a7ddrphy_bitslip13_o[2];
- soc_a7ddrphy_dfi_p1_rddata[29] <= soc_a7ddrphy_bitslip13_o[3];
- soc_a7ddrphy_dfi_p1_rddata[14] <= soc_a7ddrphy_bitslip14_o[2];
- soc_a7ddrphy_dfi_p1_rddata[30] <= soc_a7ddrphy_bitslip14_o[3];
- soc_a7ddrphy_dfi_p1_rddata[15] <= soc_a7ddrphy_bitslip15_o[2];
- soc_a7ddrphy_dfi_p1_rddata[31] <= soc_a7ddrphy_bitslip15_o[3];
-// synthesis translate_off
- dummy_d_14 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_15;
-// synthesis translate_on
-always @(*) begin
- soc_a7ddrphy_dfi_p2_rddata <= 32'd0;
- soc_a7ddrphy_dfi_p2_rddata[0] <= soc_a7ddrphy_bitslip0_o[4];
- soc_a7ddrphy_dfi_p2_rddata[16] <= soc_a7ddrphy_bitslip0_o[5];
- soc_a7ddrphy_dfi_p2_rddata[1] <= soc_a7ddrphy_bitslip1_o[4];
- soc_a7ddrphy_dfi_p2_rddata[17] <= soc_a7ddrphy_bitslip1_o[5];
- soc_a7ddrphy_dfi_p2_rddata[2] <= soc_a7ddrphy_bitslip2_o[4];
- soc_a7ddrphy_dfi_p2_rddata[18] <= soc_a7ddrphy_bitslip2_o[5];
- soc_a7ddrphy_dfi_p2_rddata[3] <= soc_a7ddrphy_bitslip3_o[4];
- soc_a7ddrphy_dfi_p2_rddata[19] <= soc_a7ddrphy_bitslip3_o[5];
- soc_a7ddrphy_dfi_p2_rddata[4] <= soc_a7ddrphy_bitslip4_o[4];
- soc_a7ddrphy_dfi_p2_rddata[20] <= soc_a7ddrphy_bitslip4_o[5];
- soc_a7ddrphy_dfi_p2_rddata[5] <= soc_a7ddrphy_bitslip5_o[4];
- soc_a7ddrphy_dfi_p2_rddata[21] <= soc_a7ddrphy_bitslip5_o[5];
- soc_a7ddrphy_dfi_p2_rddata[6] <= soc_a7ddrphy_bitslip6_o[4];
- soc_a7ddrphy_dfi_p2_rddata[22] <= soc_a7ddrphy_bitslip6_o[5];
- soc_a7ddrphy_dfi_p2_rddata[7] <= soc_a7ddrphy_bitslip7_o[4];
- soc_a7ddrphy_dfi_p2_rddata[23] <= soc_a7ddrphy_bitslip7_o[5];
- soc_a7ddrphy_dfi_p2_rddata[8] <= soc_a7ddrphy_bitslip8_o[4];
- soc_a7ddrphy_dfi_p2_rddata[24] <= soc_a7ddrphy_bitslip8_o[5];
- soc_a7ddrphy_dfi_p2_rddata[9] <= soc_a7ddrphy_bitslip9_o[4];
- soc_a7ddrphy_dfi_p2_rddata[25] <= soc_a7ddrphy_bitslip9_o[5];
- soc_a7ddrphy_dfi_p2_rddata[10] <= soc_a7ddrphy_bitslip10_o[4];
- soc_a7ddrphy_dfi_p2_rddata[26] <= soc_a7ddrphy_bitslip10_o[5];
- soc_a7ddrphy_dfi_p2_rddata[11] <= soc_a7ddrphy_bitslip11_o[4];
- soc_a7ddrphy_dfi_p2_rddata[27] <= soc_a7ddrphy_bitslip11_o[5];
- soc_a7ddrphy_dfi_p2_rddata[12] <= soc_a7ddrphy_bitslip12_o[4];
- soc_a7ddrphy_dfi_p2_rddata[28] <= soc_a7ddrphy_bitslip12_o[5];
- soc_a7ddrphy_dfi_p2_rddata[13] <= soc_a7ddrphy_bitslip13_o[4];
- soc_a7ddrphy_dfi_p2_rddata[29] <= soc_a7ddrphy_bitslip13_o[5];
- soc_a7ddrphy_dfi_p2_rddata[14] <= soc_a7ddrphy_bitslip14_o[4];
- soc_a7ddrphy_dfi_p2_rddata[30] <= soc_a7ddrphy_bitslip14_o[5];
- soc_a7ddrphy_dfi_p2_rddata[15] <= soc_a7ddrphy_bitslip15_o[4];
- soc_a7ddrphy_dfi_p2_rddata[31] <= soc_a7ddrphy_bitslip15_o[5];
-// synthesis translate_off
- dummy_d_15 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_16;
-// synthesis translate_on
-always @(*) begin
- soc_a7ddrphy_dfi_p3_rddata <= 32'd0;
- soc_a7ddrphy_dfi_p3_rddata[0] <= soc_a7ddrphy_bitslip0_o[6];
- soc_a7ddrphy_dfi_p3_rddata[16] <= soc_a7ddrphy_bitslip0_o[7];
- soc_a7ddrphy_dfi_p3_rddata[1] <= soc_a7ddrphy_bitslip1_o[6];
- soc_a7ddrphy_dfi_p3_rddata[17] <= soc_a7ddrphy_bitslip1_o[7];
- soc_a7ddrphy_dfi_p3_rddata[2] <= soc_a7ddrphy_bitslip2_o[6];
- soc_a7ddrphy_dfi_p3_rddata[18] <= soc_a7ddrphy_bitslip2_o[7];
- soc_a7ddrphy_dfi_p3_rddata[3] <= soc_a7ddrphy_bitslip3_o[6];
- soc_a7ddrphy_dfi_p3_rddata[19] <= soc_a7ddrphy_bitslip3_o[7];
- soc_a7ddrphy_dfi_p3_rddata[4] <= soc_a7ddrphy_bitslip4_o[6];
- soc_a7ddrphy_dfi_p3_rddata[20] <= soc_a7ddrphy_bitslip4_o[7];
- soc_a7ddrphy_dfi_p3_rddata[5] <= soc_a7ddrphy_bitslip5_o[6];
- soc_a7ddrphy_dfi_p3_rddata[21] <= soc_a7ddrphy_bitslip5_o[7];
- soc_a7ddrphy_dfi_p3_rddata[6] <= soc_a7ddrphy_bitslip6_o[6];
- soc_a7ddrphy_dfi_p3_rddata[22] <= soc_a7ddrphy_bitslip6_o[7];
- soc_a7ddrphy_dfi_p3_rddata[7] <= soc_a7ddrphy_bitslip7_o[6];
- soc_a7ddrphy_dfi_p3_rddata[23] <= soc_a7ddrphy_bitslip7_o[7];
- soc_a7ddrphy_dfi_p3_rddata[8] <= soc_a7ddrphy_bitslip8_o[6];
- soc_a7ddrphy_dfi_p3_rddata[24] <= soc_a7ddrphy_bitslip8_o[7];
- soc_a7ddrphy_dfi_p3_rddata[9] <= soc_a7ddrphy_bitslip9_o[6];
- soc_a7ddrphy_dfi_p3_rddata[25] <= soc_a7ddrphy_bitslip9_o[7];
- soc_a7ddrphy_dfi_p3_rddata[10] <= soc_a7ddrphy_bitslip10_o[6];
- soc_a7ddrphy_dfi_p3_rddata[26] <= soc_a7ddrphy_bitslip10_o[7];
- soc_a7ddrphy_dfi_p3_rddata[11] <= soc_a7ddrphy_bitslip11_o[6];
- soc_a7ddrphy_dfi_p3_rddata[27] <= soc_a7ddrphy_bitslip11_o[7];
- soc_a7ddrphy_dfi_p3_rddata[12] <= soc_a7ddrphy_bitslip12_o[6];
- soc_a7ddrphy_dfi_p3_rddata[28] <= soc_a7ddrphy_bitslip12_o[7];
- soc_a7ddrphy_dfi_p3_rddata[13] <= soc_a7ddrphy_bitslip13_o[6];
- soc_a7ddrphy_dfi_p3_rddata[29] <= soc_a7ddrphy_bitslip13_o[7];
- soc_a7ddrphy_dfi_p3_rddata[14] <= soc_a7ddrphy_bitslip14_o[6];
- soc_a7ddrphy_dfi_p3_rddata[30] <= soc_a7ddrphy_bitslip14_o[7];
- soc_a7ddrphy_dfi_p3_rddata[15] <= soc_a7ddrphy_bitslip15_o[6];
- soc_a7ddrphy_dfi_p3_rddata[31] <= soc_a7ddrphy_bitslip15_o[7];
-// synthesis translate_off
- dummy_d_16 = dummy_s;
-// synthesis translate_on
-end
-assign soc_a7ddrphy_bitslip1_i = soc_a7ddrphy_dq_i_data1;
-assign soc_a7ddrphy_bitslip2_i = soc_a7ddrphy_dq_i_data2;
-assign soc_a7ddrphy_bitslip3_i = soc_a7ddrphy_dq_i_data3;
-assign soc_a7ddrphy_bitslip4_i = soc_a7ddrphy_dq_i_data4;
-assign soc_a7ddrphy_bitslip5_i = soc_a7ddrphy_dq_i_data5;
-assign soc_a7ddrphy_bitslip6_i = soc_a7ddrphy_dq_i_data6;
-assign soc_a7ddrphy_bitslip7_i = soc_a7ddrphy_dq_i_data7;
-assign soc_a7ddrphy_bitslip8_i = soc_a7ddrphy_dq_i_data8;
-assign soc_a7ddrphy_bitslip9_i = soc_a7ddrphy_dq_i_data9;
-assign soc_a7ddrphy_bitslip10_i = soc_a7ddrphy_dq_i_data10;
-assign soc_a7ddrphy_bitslip11_i = soc_a7ddrphy_dq_i_data11;
-assign soc_a7ddrphy_bitslip12_i = soc_a7ddrphy_dq_i_data12;
-assign soc_a7ddrphy_bitslip13_i = soc_a7ddrphy_dq_i_data13;
-assign soc_a7ddrphy_bitslip14_i = soc_a7ddrphy_dq_i_data14;
-assign soc_a7ddrphy_bitslip15_i = soc_a7ddrphy_dq_i_data15;
-assign soc_a7ddrphy_rddata_en = {soc_a7ddrphy_rddata_en_last, soc_a7ddrphy_dfi_p2_rddata_en};
-assign soc_a7ddrphy_wrdata_en = {soc_a7ddrphy_wrdata_en_last, soc_a7ddrphy_dfi_p3_wrdata_en};
-assign soc_a7ddrphy_dq_oe = soc_a7ddrphy_wrdata_en[2];
-
-// synthesis translate_off
-reg dummy_d_17;
-// synthesis translate_on
-always @(*) begin
- soc_a7ddrphy_dqs_oe <= 1'd0;
- if (soc_a7ddrphy_wlevel_en_storage) begin
- soc_a7ddrphy_dqs_oe <= 1'd1;
+ a7ddrphy_dqs_oe <= 1'd0;
+ if (a7ddrphy_wlevel_en_storage) begin
+ a7ddrphy_dqs_oe <= 1'd1;
end else begin
- soc_a7ddrphy_dqs_oe <= soc_a7ddrphy_dq_oe;
+ a7ddrphy_dqs_oe <= a7ddrphy_dq_oe;
end
// synthesis translate_off
- dummy_d_17 = dummy_s;
+ dummy_d_4 = dummy_s;
// synthesis translate_on
end
-assign soc_a7ddrphy_dqspattern0 = (soc_a7ddrphy_wrdata_en[1] & (~soc_a7ddrphy_wrdata_en[2]));
-assign soc_a7ddrphy_dqspattern1 = (soc_a7ddrphy_wrdata_en[3] & (~soc_a7ddrphy_wrdata_en[2]));
+assign a7ddrphy_dqspattern0 = (a7ddrphy_wrdata_en[1] & (~a7ddrphy_wrdata_en[2]));
+assign a7ddrphy_dqspattern1 = (a7ddrphy_wrdata_en[3] & (~a7ddrphy_wrdata_en[2]));
// synthesis translate_off
-reg dummy_d_18;
+reg dummy_d_5;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_dqspattern_o0 <= 8'd0;
- soc_a7ddrphy_dqspattern_o0 <= 7'd85;
- if (soc_a7ddrphy_dqspattern0) begin
- soc_a7ddrphy_dqspattern_o0 <= 5'd21;
+ a7ddrphy_dqspattern_o0 <= 8'd0;
+ a7ddrphy_dqspattern_o0 <= 7'd85;
+ if (a7ddrphy_dqspattern0) begin
+ a7ddrphy_dqspattern_o0 <= 5'd21;
end
- if (soc_a7ddrphy_dqspattern1) begin
- soc_a7ddrphy_dqspattern_o0 <= 7'd84;
+ if (a7ddrphy_dqspattern1) begin
+ a7ddrphy_dqspattern_o0 <= 7'd84;
end
- if (soc_a7ddrphy_wlevel_en_storage) begin
- soc_a7ddrphy_dqspattern_o0 <= 1'd0;
- if (soc_a7ddrphy_wlevel_strobe_re) begin
- soc_a7ddrphy_dqspattern_o0 <= 1'd1;
+ if (a7ddrphy_wlevel_en_storage) begin
+ a7ddrphy_dqspattern_o0 <= 1'd0;
+ if (a7ddrphy_wlevel_strobe_re) begin
+ a7ddrphy_dqspattern_o0 <= 1'd1;
end
end
// synthesis translate_off
- dummy_d_18 = dummy_s;
+ dummy_d_5 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_19;
+reg dummy_d_6;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip0_o <= 8'd0;
- case (soc_a7ddrphy_bitslip0_value)
+ a7ddrphy_bitslip0_o <= 8'd0;
+ case (a7ddrphy_bitslip0_value)
1'd0: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[7:0];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[8:1];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[9:2];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[10:3];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[11:4];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[12:5];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[13:6];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip0_o <= soc_a7ddrphy_bitslip0_r[14:7];
+ a7ddrphy_bitslip0_o <= a7ddrphy_bitslip0_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_19 = dummy_s;
+ dummy_d_6 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_20;
+reg dummy_d_7;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip1_o <= 8'd0;
- case (soc_a7ddrphy_bitslip1_value)
+ a7ddrphy_bitslip1_o <= 8'd0;
+ case (a7ddrphy_bitslip1_value)
1'd0: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[7:0];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[8:1];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[9:2];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[10:3];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[11:4];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[12:5];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[13:6];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip1_o <= soc_a7ddrphy_bitslip1_r[14:7];
+ a7ddrphy_bitslip1_o <= a7ddrphy_bitslip1_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_20 = dummy_s;
+ dummy_d_7 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_21;
+reg dummy_d_8;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip2_o <= 8'd0;
- case (soc_a7ddrphy_bitslip2_value)
+ a7ddrphy_bitslip2_o <= 8'd0;
+ case (a7ddrphy_bitslip2_value)
1'd0: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[7:0];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[8:1];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[9:2];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[10:3];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[11:4];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[12:5];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[13:6];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip2_o <= soc_a7ddrphy_bitslip2_r[14:7];
+ a7ddrphy_bitslip2_o <= a7ddrphy_bitslip2_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_21 = dummy_s;
+ dummy_d_8 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_22;
+reg dummy_d_9;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip3_o <= 8'd0;
- case (soc_a7ddrphy_bitslip3_value)
+ a7ddrphy_bitslip3_o <= 8'd0;
+ case (a7ddrphy_bitslip3_value)
1'd0: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[7:0];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[8:1];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[9:2];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[10:3];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[11:4];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[12:5];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[13:6];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip3_o <= soc_a7ddrphy_bitslip3_r[14:7];
+ a7ddrphy_bitslip3_o <= a7ddrphy_bitslip3_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_22 = dummy_s;
+ dummy_d_9 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_23;
+reg dummy_d_10;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip4_o <= 8'd0;
- case (soc_a7ddrphy_bitslip4_value)
+ a7ddrphy_bitslip4_o <= 8'd0;
+ case (a7ddrphy_bitslip4_value)
1'd0: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[7:0];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[8:1];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[9:2];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[10:3];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[11:4];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[12:5];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[13:6];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip4_o <= soc_a7ddrphy_bitslip4_r[14:7];
+ a7ddrphy_bitslip4_o <= a7ddrphy_bitslip4_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_23 = dummy_s;
+ dummy_d_10 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_24;
+reg dummy_d_11;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip5_o <= 8'd0;
- case (soc_a7ddrphy_bitslip5_value)
+ a7ddrphy_bitslip5_o <= 8'd0;
+ case (a7ddrphy_bitslip5_value)
1'd0: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[7:0];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[8:1];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[9:2];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[10:3];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[11:4];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[12:5];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[13:6];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip5_o <= soc_a7ddrphy_bitslip5_r[14:7];
+ a7ddrphy_bitslip5_o <= a7ddrphy_bitslip5_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_24 = dummy_s;
+ dummy_d_11 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_25;
+reg dummy_d_12;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip6_o <= 8'd0;
- case (soc_a7ddrphy_bitslip6_value)
+ a7ddrphy_bitslip6_o <= 8'd0;
+ case (a7ddrphy_bitslip6_value)
1'd0: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[7:0];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[8:1];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[9:2];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[10:3];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[11:4];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[12:5];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[13:6];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip6_o <= soc_a7ddrphy_bitslip6_r[14:7];
+ a7ddrphy_bitslip6_o <= a7ddrphy_bitslip6_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_25 = dummy_s;
+ dummy_d_12 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_26;
+reg dummy_d_13;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip7_o <= 8'd0;
- case (soc_a7ddrphy_bitslip7_value)
+ a7ddrphy_bitslip7_o <= 8'd0;
+ case (a7ddrphy_bitslip7_value)
1'd0: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[7:0];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[8:1];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[9:2];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[10:3];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[11:4];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[12:5];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[13:6];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip7_o <= soc_a7ddrphy_bitslip7_r[14:7];
+ a7ddrphy_bitslip7_o <= a7ddrphy_bitslip7_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_26 = dummy_s;
+ dummy_d_13 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_27;
+reg dummy_d_14;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip8_o <= 8'd0;
- case (soc_a7ddrphy_bitslip8_value)
+ a7ddrphy_bitslip8_o <= 8'd0;
+ case (a7ddrphy_bitslip8_value)
1'd0: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[7:0];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[8:1];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[9:2];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[10:3];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[11:4];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[12:5];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[13:6];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip8_o <= soc_a7ddrphy_bitslip8_r[14:7];
+ a7ddrphy_bitslip8_o <= a7ddrphy_bitslip8_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_27 = dummy_s;
+ dummy_d_14 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_28;
+reg dummy_d_15;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip9_o <= 8'd0;
- case (soc_a7ddrphy_bitslip9_value)
+ a7ddrphy_bitslip9_o <= 8'd0;
+ case (a7ddrphy_bitslip9_value)
1'd0: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[7:0];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[8:1];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[9:2];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[10:3];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[11:4];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[12:5];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[13:6];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip9_o <= soc_a7ddrphy_bitslip9_r[14:7];
+ a7ddrphy_bitslip9_o <= a7ddrphy_bitslip9_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_28 = dummy_s;
+ dummy_d_15 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_29;
+reg dummy_d_16;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip10_o <= 8'd0;
- case (soc_a7ddrphy_bitslip10_value)
+ a7ddrphy_bitslip10_o <= 8'd0;
+ case (a7ddrphy_bitslip10_value)
1'd0: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[7:0];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[8:1];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[9:2];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[10:3];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[11:4];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[12:5];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[13:6];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip10_o <= soc_a7ddrphy_bitslip10_r[14:7];
+ a7ddrphy_bitslip10_o <= a7ddrphy_bitslip10_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_29 = dummy_s;
+ dummy_d_16 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_30;
+reg dummy_d_17;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip11_o <= 8'd0;
- case (soc_a7ddrphy_bitslip11_value)
+ a7ddrphy_bitslip11_o <= 8'd0;
+ case (a7ddrphy_bitslip11_value)
1'd0: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[7:0];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[8:1];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[9:2];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[10:3];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[11:4];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[12:5];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[13:6];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip11_o <= soc_a7ddrphy_bitslip11_r[14:7];
+ a7ddrphy_bitslip11_o <= a7ddrphy_bitslip11_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_30 = dummy_s;
+ dummy_d_17 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_31;
+reg dummy_d_18;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip12_o <= 8'd0;
- case (soc_a7ddrphy_bitslip12_value)
+ a7ddrphy_bitslip12_o <= 8'd0;
+ case (a7ddrphy_bitslip12_value)
1'd0: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[7:0];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[8:1];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[9:2];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[10:3];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[11:4];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[12:5];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[13:6];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip12_o <= soc_a7ddrphy_bitslip12_r[14:7];
+ a7ddrphy_bitslip12_o <= a7ddrphy_bitslip12_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_31 = dummy_s;
+ dummy_d_18 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_32;
+reg dummy_d_19;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip13_o <= 8'd0;
- case (soc_a7ddrphy_bitslip13_value)
+ a7ddrphy_bitslip13_o <= 8'd0;
+ case (a7ddrphy_bitslip13_value)
1'd0: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[7:0];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[8:1];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[9:2];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[10:3];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[11:4];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[12:5];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[13:6];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip13_o <= soc_a7ddrphy_bitslip13_r[14:7];
+ a7ddrphy_bitslip13_o <= a7ddrphy_bitslip13_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_32 = dummy_s;
+ dummy_d_19 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_33;
+reg dummy_d_20;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip14_o <= 8'd0;
- case (soc_a7ddrphy_bitslip14_value)
+ a7ddrphy_bitslip14_o <= 8'd0;
+ case (a7ddrphy_bitslip14_value)
1'd0: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[7:0];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[8:1];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[9:2];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[10:3];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[11:4];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[12:5];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[13:6];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip14_o <= soc_a7ddrphy_bitslip14_r[14:7];
+ a7ddrphy_bitslip14_o <= a7ddrphy_bitslip14_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_33 = dummy_s;
+ dummy_d_20 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_34;
+reg dummy_d_21;
// synthesis translate_on
always @(*) begin
- soc_a7ddrphy_bitslip15_o <= 8'd0;
- case (soc_a7ddrphy_bitslip15_value)
+ a7ddrphy_bitslip15_o <= 8'd0;
+ case (a7ddrphy_bitslip15_value)
1'd0: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[7:0];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[7:0];
end
1'd1: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[8:1];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[8:1];
end
2'd2: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[9:2];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[9:2];
end
2'd3: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[10:3];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[10:3];
end
3'd4: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[11:4];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[11:4];
end
3'd5: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[12:5];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[12:5];
end
3'd6: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[13:6];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[13:6];
end
3'd7: begin
- soc_a7ddrphy_bitslip15_o <= soc_a7ddrphy_bitslip15_r[14:7];
+ a7ddrphy_bitslip15_o <= a7ddrphy_bitslip15_r[14:7];
end
endcase
// synthesis translate_off
- dummy_d_34 = dummy_s;
+ dummy_d_21 = dummy_s;
// synthesis translate_on
end
-assign soc_a7ddrphy_dfi_p0_address = soc_sdram_master_p0_address;
-assign soc_a7ddrphy_dfi_p0_bank = soc_sdram_master_p0_bank;
-assign soc_a7ddrphy_dfi_p0_cas_n = soc_sdram_master_p0_cas_n;
-assign soc_a7ddrphy_dfi_p0_cs_n = soc_sdram_master_p0_cs_n;
-assign soc_a7ddrphy_dfi_p0_ras_n = soc_sdram_master_p0_ras_n;
-assign soc_a7ddrphy_dfi_p0_we_n = soc_sdram_master_p0_we_n;
-assign soc_a7ddrphy_dfi_p0_cke = soc_sdram_master_p0_cke;
-assign soc_a7ddrphy_dfi_p0_odt = soc_sdram_master_p0_odt;
-assign soc_a7ddrphy_dfi_p0_reset_n = soc_sdram_master_p0_reset_n;
-assign soc_a7ddrphy_dfi_p0_act_n = soc_sdram_master_p0_act_n;
-assign soc_a7ddrphy_dfi_p0_wrdata = soc_sdram_master_p0_wrdata;
-assign soc_a7ddrphy_dfi_p0_wrdata_en = soc_sdram_master_p0_wrdata_en;
-assign soc_a7ddrphy_dfi_p0_wrdata_mask = soc_sdram_master_p0_wrdata_mask;
-assign soc_a7ddrphy_dfi_p0_rddata_en = soc_sdram_master_p0_rddata_en;
-assign soc_sdram_master_p0_rddata = soc_a7ddrphy_dfi_p0_rddata;
-assign soc_sdram_master_p0_rddata_valid = soc_a7ddrphy_dfi_p0_rddata_valid;
-assign soc_a7ddrphy_dfi_p1_address = soc_sdram_master_p1_address;
-assign soc_a7ddrphy_dfi_p1_bank = soc_sdram_master_p1_bank;
-assign soc_a7ddrphy_dfi_p1_cas_n = soc_sdram_master_p1_cas_n;
-assign soc_a7ddrphy_dfi_p1_cs_n = soc_sdram_master_p1_cs_n;
-assign soc_a7ddrphy_dfi_p1_ras_n = soc_sdram_master_p1_ras_n;
-assign soc_a7ddrphy_dfi_p1_we_n = soc_sdram_master_p1_we_n;
-assign soc_a7ddrphy_dfi_p1_cke = soc_sdram_master_p1_cke;
-assign soc_a7ddrphy_dfi_p1_odt = soc_sdram_master_p1_odt;
-assign soc_a7ddrphy_dfi_p1_reset_n = soc_sdram_master_p1_reset_n;
-assign soc_a7ddrphy_dfi_p1_act_n = soc_sdram_master_p1_act_n;
-assign soc_a7ddrphy_dfi_p1_wrdata = soc_sdram_master_p1_wrdata;
-assign soc_a7ddrphy_dfi_p1_wrdata_en = soc_sdram_master_p1_wrdata_en;
-assign soc_a7ddrphy_dfi_p1_wrdata_mask = soc_sdram_master_p1_wrdata_mask;
-assign soc_a7ddrphy_dfi_p1_rddata_en = soc_sdram_master_p1_rddata_en;
-assign soc_sdram_master_p1_rddata = soc_a7ddrphy_dfi_p1_rddata;
-assign soc_sdram_master_p1_rddata_valid = soc_a7ddrphy_dfi_p1_rddata_valid;
-assign soc_a7ddrphy_dfi_p2_address = soc_sdram_master_p2_address;
-assign soc_a7ddrphy_dfi_p2_bank = soc_sdram_master_p2_bank;
-assign soc_a7ddrphy_dfi_p2_cas_n = soc_sdram_master_p2_cas_n;
-assign soc_a7ddrphy_dfi_p2_cs_n = soc_sdram_master_p2_cs_n;
-assign soc_a7ddrphy_dfi_p2_ras_n = soc_sdram_master_p2_ras_n;
-assign soc_a7ddrphy_dfi_p2_we_n = soc_sdram_master_p2_we_n;
-assign soc_a7ddrphy_dfi_p2_cke = soc_sdram_master_p2_cke;
-assign soc_a7ddrphy_dfi_p2_odt = soc_sdram_master_p2_odt;
-assign soc_a7ddrphy_dfi_p2_reset_n = soc_sdram_master_p2_reset_n;
-assign soc_a7ddrphy_dfi_p2_act_n = soc_sdram_master_p2_act_n;
-assign soc_a7ddrphy_dfi_p2_wrdata = soc_sdram_master_p2_wrdata;
-assign soc_a7ddrphy_dfi_p2_wrdata_en = soc_sdram_master_p2_wrdata_en;
-assign soc_a7ddrphy_dfi_p2_wrdata_mask = soc_sdram_master_p2_wrdata_mask;
-assign soc_a7ddrphy_dfi_p2_rddata_en = soc_sdram_master_p2_rddata_en;
-assign soc_sdram_master_p2_rddata = soc_a7ddrphy_dfi_p2_rddata;
-assign soc_sdram_master_p2_rddata_valid = soc_a7ddrphy_dfi_p2_rddata_valid;
-assign soc_a7ddrphy_dfi_p3_address = soc_sdram_master_p3_address;
-assign soc_a7ddrphy_dfi_p3_bank = soc_sdram_master_p3_bank;
-assign soc_a7ddrphy_dfi_p3_cas_n = soc_sdram_master_p3_cas_n;
-assign soc_a7ddrphy_dfi_p3_cs_n = soc_sdram_master_p3_cs_n;
-assign soc_a7ddrphy_dfi_p3_ras_n = soc_sdram_master_p3_ras_n;
-assign soc_a7ddrphy_dfi_p3_we_n = soc_sdram_master_p3_we_n;
-assign soc_a7ddrphy_dfi_p3_cke = soc_sdram_master_p3_cke;
-assign soc_a7ddrphy_dfi_p3_odt = soc_sdram_master_p3_odt;
-assign soc_a7ddrphy_dfi_p3_reset_n = soc_sdram_master_p3_reset_n;
-assign soc_a7ddrphy_dfi_p3_act_n = soc_sdram_master_p3_act_n;
-assign soc_a7ddrphy_dfi_p3_wrdata = soc_sdram_master_p3_wrdata;
-assign soc_a7ddrphy_dfi_p3_wrdata_en = soc_sdram_master_p3_wrdata_en;
-assign soc_a7ddrphy_dfi_p3_wrdata_mask = soc_sdram_master_p3_wrdata_mask;
-assign soc_a7ddrphy_dfi_p3_rddata_en = soc_sdram_master_p3_rddata_en;
-assign soc_sdram_master_p3_rddata = soc_a7ddrphy_dfi_p3_rddata;
-assign soc_sdram_master_p3_rddata_valid = soc_a7ddrphy_dfi_p3_rddata_valid;
-assign soc_sdram_slave_p0_address = soc_sdram_dfi_p0_address;
-assign soc_sdram_slave_p0_bank = soc_sdram_dfi_p0_bank;
-assign soc_sdram_slave_p0_cas_n = soc_sdram_dfi_p0_cas_n;
-assign soc_sdram_slave_p0_cs_n = soc_sdram_dfi_p0_cs_n;
-assign soc_sdram_slave_p0_ras_n = soc_sdram_dfi_p0_ras_n;
-assign soc_sdram_slave_p0_we_n = soc_sdram_dfi_p0_we_n;
-assign soc_sdram_slave_p0_cke = soc_sdram_dfi_p0_cke;
-assign soc_sdram_slave_p0_odt = soc_sdram_dfi_p0_odt;
-assign soc_sdram_slave_p0_reset_n = soc_sdram_dfi_p0_reset_n;
-assign soc_sdram_slave_p0_act_n = soc_sdram_dfi_p0_act_n;
-assign soc_sdram_slave_p0_wrdata = soc_sdram_dfi_p0_wrdata;
-assign soc_sdram_slave_p0_wrdata_en = soc_sdram_dfi_p0_wrdata_en;
-assign soc_sdram_slave_p0_wrdata_mask = soc_sdram_dfi_p0_wrdata_mask;
-assign soc_sdram_slave_p0_rddata_en = soc_sdram_dfi_p0_rddata_en;
-assign soc_sdram_dfi_p0_rddata = soc_sdram_slave_p0_rddata;
-assign soc_sdram_dfi_p0_rddata_valid = soc_sdram_slave_p0_rddata_valid;
-assign soc_sdram_slave_p1_address = soc_sdram_dfi_p1_address;
-assign soc_sdram_slave_p1_bank = soc_sdram_dfi_p1_bank;
-assign soc_sdram_slave_p1_cas_n = soc_sdram_dfi_p1_cas_n;
-assign soc_sdram_slave_p1_cs_n = soc_sdram_dfi_p1_cs_n;
-assign soc_sdram_slave_p1_ras_n = soc_sdram_dfi_p1_ras_n;
-assign soc_sdram_slave_p1_we_n = soc_sdram_dfi_p1_we_n;
-assign soc_sdram_slave_p1_cke = soc_sdram_dfi_p1_cke;
-assign soc_sdram_slave_p1_odt = soc_sdram_dfi_p1_odt;
-assign soc_sdram_slave_p1_reset_n = soc_sdram_dfi_p1_reset_n;
-assign soc_sdram_slave_p1_act_n = soc_sdram_dfi_p1_act_n;
-assign soc_sdram_slave_p1_wrdata = soc_sdram_dfi_p1_wrdata;
-assign soc_sdram_slave_p1_wrdata_en = soc_sdram_dfi_p1_wrdata_en;
-assign soc_sdram_slave_p1_wrdata_mask = soc_sdram_dfi_p1_wrdata_mask;
-assign soc_sdram_slave_p1_rddata_en = soc_sdram_dfi_p1_rddata_en;
-assign soc_sdram_dfi_p1_rddata = soc_sdram_slave_p1_rddata;
-assign soc_sdram_dfi_p1_rddata_valid = soc_sdram_slave_p1_rddata_valid;
-assign soc_sdram_slave_p2_address = soc_sdram_dfi_p2_address;
-assign soc_sdram_slave_p2_bank = soc_sdram_dfi_p2_bank;
-assign soc_sdram_slave_p2_cas_n = soc_sdram_dfi_p2_cas_n;
-assign soc_sdram_slave_p2_cs_n = soc_sdram_dfi_p2_cs_n;
-assign soc_sdram_slave_p2_ras_n = soc_sdram_dfi_p2_ras_n;
-assign soc_sdram_slave_p2_we_n = soc_sdram_dfi_p2_we_n;
-assign soc_sdram_slave_p2_cke = soc_sdram_dfi_p2_cke;
-assign soc_sdram_slave_p2_odt = soc_sdram_dfi_p2_odt;
-assign soc_sdram_slave_p2_reset_n = soc_sdram_dfi_p2_reset_n;
-assign soc_sdram_slave_p2_act_n = soc_sdram_dfi_p2_act_n;
-assign soc_sdram_slave_p2_wrdata = soc_sdram_dfi_p2_wrdata;
-assign soc_sdram_slave_p2_wrdata_en = soc_sdram_dfi_p2_wrdata_en;
-assign soc_sdram_slave_p2_wrdata_mask = soc_sdram_dfi_p2_wrdata_mask;
-assign soc_sdram_slave_p2_rddata_en = soc_sdram_dfi_p2_rddata_en;
-assign soc_sdram_dfi_p2_rddata = soc_sdram_slave_p2_rddata;
-assign soc_sdram_dfi_p2_rddata_valid = soc_sdram_slave_p2_rddata_valid;
-assign soc_sdram_slave_p3_address = soc_sdram_dfi_p3_address;
-assign soc_sdram_slave_p3_bank = soc_sdram_dfi_p3_bank;
-assign soc_sdram_slave_p3_cas_n = soc_sdram_dfi_p3_cas_n;
-assign soc_sdram_slave_p3_cs_n = soc_sdram_dfi_p3_cs_n;
-assign soc_sdram_slave_p3_ras_n = soc_sdram_dfi_p3_ras_n;
-assign soc_sdram_slave_p3_we_n = soc_sdram_dfi_p3_we_n;
-assign soc_sdram_slave_p3_cke = soc_sdram_dfi_p3_cke;
-assign soc_sdram_slave_p3_odt = soc_sdram_dfi_p3_odt;
-assign soc_sdram_slave_p3_reset_n = soc_sdram_dfi_p3_reset_n;
-assign soc_sdram_slave_p3_act_n = soc_sdram_dfi_p3_act_n;
-assign soc_sdram_slave_p3_wrdata = soc_sdram_dfi_p3_wrdata;
-assign soc_sdram_slave_p3_wrdata_en = soc_sdram_dfi_p3_wrdata_en;
-assign soc_sdram_slave_p3_wrdata_mask = soc_sdram_dfi_p3_wrdata_mask;
-assign soc_sdram_slave_p3_rddata_en = soc_sdram_dfi_p3_rddata_en;
-assign soc_sdram_dfi_p3_rddata = soc_sdram_slave_p3_rddata;
-assign soc_sdram_dfi_p3_rddata_valid = soc_sdram_slave_p3_rddata_valid;
+assign a7ddrphy_dfi_p0_address = litedramcore_master_p0_address;
+assign a7ddrphy_dfi_p0_bank = litedramcore_master_p0_bank;
+assign a7ddrphy_dfi_p0_cas_n = litedramcore_master_p0_cas_n;
+assign a7ddrphy_dfi_p0_cs_n = litedramcore_master_p0_cs_n;
+assign a7ddrphy_dfi_p0_ras_n = litedramcore_master_p0_ras_n;
+assign a7ddrphy_dfi_p0_we_n = litedramcore_master_p0_we_n;
+assign a7ddrphy_dfi_p0_cke = litedramcore_master_p0_cke;
+assign a7ddrphy_dfi_p0_odt = litedramcore_master_p0_odt;
+assign a7ddrphy_dfi_p0_reset_n = litedramcore_master_p0_reset_n;
+assign a7ddrphy_dfi_p0_act_n = litedramcore_master_p0_act_n;
+assign a7ddrphy_dfi_p0_wrdata = litedramcore_master_p0_wrdata;
+assign a7ddrphy_dfi_p0_wrdata_en = litedramcore_master_p0_wrdata_en;
+assign a7ddrphy_dfi_p0_wrdata_mask = litedramcore_master_p0_wrdata_mask;
+assign a7ddrphy_dfi_p0_rddata_en = litedramcore_master_p0_rddata_en;
+assign litedramcore_master_p0_rddata = a7ddrphy_dfi_p0_rddata;
+assign litedramcore_master_p0_rddata_valid = a7ddrphy_dfi_p0_rddata_valid;
+assign a7ddrphy_dfi_p1_address = litedramcore_master_p1_address;
+assign a7ddrphy_dfi_p1_bank = litedramcore_master_p1_bank;
+assign a7ddrphy_dfi_p1_cas_n = litedramcore_master_p1_cas_n;
+assign a7ddrphy_dfi_p1_cs_n = litedramcore_master_p1_cs_n;
+assign a7ddrphy_dfi_p1_ras_n = litedramcore_master_p1_ras_n;
+assign a7ddrphy_dfi_p1_we_n = litedramcore_master_p1_we_n;
+assign a7ddrphy_dfi_p1_cke = litedramcore_master_p1_cke;
+assign a7ddrphy_dfi_p1_odt = litedramcore_master_p1_odt;
+assign a7ddrphy_dfi_p1_reset_n = litedramcore_master_p1_reset_n;
+assign a7ddrphy_dfi_p1_act_n = litedramcore_master_p1_act_n;
+assign a7ddrphy_dfi_p1_wrdata = litedramcore_master_p1_wrdata;
+assign a7ddrphy_dfi_p1_wrdata_en = litedramcore_master_p1_wrdata_en;
+assign a7ddrphy_dfi_p1_wrdata_mask = litedramcore_master_p1_wrdata_mask;
+assign a7ddrphy_dfi_p1_rddata_en = litedramcore_master_p1_rddata_en;
+assign litedramcore_master_p1_rddata = a7ddrphy_dfi_p1_rddata;
+assign litedramcore_master_p1_rddata_valid = a7ddrphy_dfi_p1_rddata_valid;
+assign a7ddrphy_dfi_p2_address = litedramcore_master_p2_address;
+assign a7ddrphy_dfi_p2_bank = litedramcore_master_p2_bank;
+assign a7ddrphy_dfi_p2_cas_n = litedramcore_master_p2_cas_n;
+assign a7ddrphy_dfi_p2_cs_n = litedramcore_master_p2_cs_n;
+assign a7ddrphy_dfi_p2_ras_n = litedramcore_master_p2_ras_n;
+assign a7ddrphy_dfi_p2_we_n = litedramcore_master_p2_we_n;
+assign a7ddrphy_dfi_p2_cke = litedramcore_master_p2_cke;
+assign a7ddrphy_dfi_p2_odt = litedramcore_master_p2_odt;
+assign a7ddrphy_dfi_p2_reset_n = litedramcore_master_p2_reset_n;
+assign a7ddrphy_dfi_p2_act_n = litedramcore_master_p2_act_n;
+assign a7ddrphy_dfi_p2_wrdata = litedramcore_master_p2_wrdata;
+assign a7ddrphy_dfi_p2_wrdata_en = litedramcore_master_p2_wrdata_en;
+assign a7ddrphy_dfi_p2_wrdata_mask = litedramcore_master_p2_wrdata_mask;
+assign a7ddrphy_dfi_p2_rddata_en = litedramcore_master_p2_rddata_en;
+assign litedramcore_master_p2_rddata = a7ddrphy_dfi_p2_rddata;
+assign litedramcore_master_p2_rddata_valid = a7ddrphy_dfi_p2_rddata_valid;
+assign a7ddrphy_dfi_p3_address = litedramcore_master_p3_address;
+assign a7ddrphy_dfi_p3_bank = litedramcore_master_p3_bank;
+assign a7ddrphy_dfi_p3_cas_n = litedramcore_master_p3_cas_n;
+assign a7ddrphy_dfi_p3_cs_n = litedramcore_master_p3_cs_n;
+assign a7ddrphy_dfi_p3_ras_n = litedramcore_master_p3_ras_n;
+assign a7ddrphy_dfi_p3_we_n = litedramcore_master_p3_we_n;
+assign a7ddrphy_dfi_p3_cke = litedramcore_master_p3_cke;
+assign a7ddrphy_dfi_p3_odt = litedramcore_master_p3_odt;
+assign a7ddrphy_dfi_p3_reset_n = litedramcore_master_p3_reset_n;
+assign a7ddrphy_dfi_p3_act_n = litedramcore_master_p3_act_n;
+assign a7ddrphy_dfi_p3_wrdata = litedramcore_master_p3_wrdata;
+assign a7ddrphy_dfi_p3_wrdata_en = litedramcore_master_p3_wrdata_en;
+assign a7ddrphy_dfi_p3_wrdata_mask = litedramcore_master_p3_wrdata_mask;
+assign a7ddrphy_dfi_p3_rddata_en = litedramcore_master_p3_rddata_en;
+assign litedramcore_master_p3_rddata = a7ddrphy_dfi_p3_rddata;
+assign litedramcore_master_p3_rddata_valid = a7ddrphy_dfi_p3_rddata_valid;
+assign litedramcore_slave_p0_address = litedramcore_dfi_p0_address;
+assign litedramcore_slave_p0_bank = litedramcore_dfi_p0_bank;
+assign litedramcore_slave_p0_cas_n = litedramcore_dfi_p0_cas_n;
+assign litedramcore_slave_p0_cs_n = litedramcore_dfi_p0_cs_n;
+assign litedramcore_slave_p0_ras_n = litedramcore_dfi_p0_ras_n;
+assign litedramcore_slave_p0_we_n = litedramcore_dfi_p0_we_n;
+assign litedramcore_slave_p0_cke = litedramcore_dfi_p0_cke;
+assign litedramcore_slave_p0_odt = litedramcore_dfi_p0_odt;
+assign litedramcore_slave_p0_reset_n = litedramcore_dfi_p0_reset_n;
+assign litedramcore_slave_p0_act_n = litedramcore_dfi_p0_act_n;
+assign litedramcore_slave_p0_wrdata = litedramcore_dfi_p0_wrdata;
+assign litedramcore_slave_p0_wrdata_en = litedramcore_dfi_p0_wrdata_en;
+assign litedramcore_slave_p0_wrdata_mask = litedramcore_dfi_p0_wrdata_mask;
+assign litedramcore_slave_p0_rddata_en = litedramcore_dfi_p0_rddata_en;
+assign litedramcore_dfi_p0_rddata = litedramcore_slave_p0_rddata;
+assign litedramcore_dfi_p0_rddata_valid = litedramcore_slave_p0_rddata_valid;
+assign litedramcore_slave_p1_address = litedramcore_dfi_p1_address;
+assign litedramcore_slave_p1_bank = litedramcore_dfi_p1_bank;
+assign litedramcore_slave_p1_cas_n = litedramcore_dfi_p1_cas_n;
+assign litedramcore_slave_p1_cs_n = litedramcore_dfi_p1_cs_n;
+assign litedramcore_slave_p1_ras_n = litedramcore_dfi_p1_ras_n;
+assign litedramcore_slave_p1_we_n = litedramcore_dfi_p1_we_n;
+assign litedramcore_slave_p1_cke = litedramcore_dfi_p1_cke;
+assign litedramcore_slave_p1_odt = litedramcore_dfi_p1_odt;
+assign litedramcore_slave_p1_reset_n = litedramcore_dfi_p1_reset_n;
+assign litedramcore_slave_p1_act_n = litedramcore_dfi_p1_act_n;
+assign litedramcore_slave_p1_wrdata = litedramcore_dfi_p1_wrdata;
+assign litedramcore_slave_p1_wrdata_en = litedramcore_dfi_p1_wrdata_en;
+assign litedramcore_slave_p1_wrdata_mask = litedramcore_dfi_p1_wrdata_mask;
+assign litedramcore_slave_p1_rddata_en = litedramcore_dfi_p1_rddata_en;
+assign litedramcore_dfi_p1_rddata = litedramcore_slave_p1_rddata;
+assign litedramcore_dfi_p1_rddata_valid = litedramcore_slave_p1_rddata_valid;
+assign litedramcore_slave_p2_address = litedramcore_dfi_p2_address;
+assign litedramcore_slave_p2_bank = litedramcore_dfi_p2_bank;
+assign litedramcore_slave_p2_cas_n = litedramcore_dfi_p2_cas_n;
+assign litedramcore_slave_p2_cs_n = litedramcore_dfi_p2_cs_n;
+assign litedramcore_slave_p2_ras_n = litedramcore_dfi_p2_ras_n;
+assign litedramcore_slave_p2_we_n = litedramcore_dfi_p2_we_n;
+assign litedramcore_slave_p2_cke = litedramcore_dfi_p2_cke;
+assign litedramcore_slave_p2_odt = litedramcore_dfi_p2_odt;
+assign litedramcore_slave_p2_reset_n = litedramcore_dfi_p2_reset_n;
+assign litedramcore_slave_p2_act_n = litedramcore_dfi_p2_act_n;
+assign litedramcore_slave_p2_wrdata = litedramcore_dfi_p2_wrdata;
+assign litedramcore_slave_p2_wrdata_en = litedramcore_dfi_p2_wrdata_en;
+assign litedramcore_slave_p2_wrdata_mask = litedramcore_dfi_p2_wrdata_mask;
+assign litedramcore_slave_p2_rddata_en = litedramcore_dfi_p2_rddata_en;
+assign litedramcore_dfi_p2_rddata = litedramcore_slave_p2_rddata;
+assign litedramcore_dfi_p2_rddata_valid = litedramcore_slave_p2_rddata_valid;
+assign litedramcore_slave_p3_address = litedramcore_dfi_p3_address;
+assign litedramcore_slave_p3_bank = litedramcore_dfi_p3_bank;
+assign litedramcore_slave_p3_cas_n = litedramcore_dfi_p3_cas_n;
+assign litedramcore_slave_p3_cs_n = litedramcore_dfi_p3_cs_n;
+assign litedramcore_slave_p3_ras_n = litedramcore_dfi_p3_ras_n;
+assign litedramcore_slave_p3_we_n = litedramcore_dfi_p3_we_n;
+assign litedramcore_slave_p3_cke = litedramcore_dfi_p3_cke;
+assign litedramcore_slave_p3_odt = litedramcore_dfi_p3_odt;
+assign litedramcore_slave_p3_reset_n = litedramcore_dfi_p3_reset_n;
+assign litedramcore_slave_p3_act_n = litedramcore_dfi_p3_act_n;
+assign litedramcore_slave_p3_wrdata = litedramcore_dfi_p3_wrdata;
+assign litedramcore_slave_p3_wrdata_en = litedramcore_dfi_p3_wrdata_en;
+assign litedramcore_slave_p3_wrdata_mask = litedramcore_dfi_p3_wrdata_mask;
+assign litedramcore_slave_p3_rddata_en = litedramcore_dfi_p3_rddata_en;
+assign litedramcore_dfi_p3_rddata = litedramcore_slave_p3_rddata;
+assign litedramcore_dfi_p3_rddata_valid = litedramcore_slave_p3_rddata_valid;
// synthesis translate_off
-reg dummy_d_35;
+reg dummy_d_22;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_cas_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_cas_n <= soc_sdram_slave_p2_cas_n;
+ litedramcore_master_p2_ras_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_ras_n <= litedramcore_slave_p2_ras_n;
end else begin
- soc_sdram_master_p2_cas_n <= soc_sdram_inti_p2_cas_n;
+ litedramcore_master_p2_ras_n <= litedramcore_inti_p2_ras_n;
end
// synthesis translate_off
- dummy_d_35 = dummy_s;
+ dummy_d_22 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_36;
+reg dummy_d_23;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_cs_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_cs_n <= soc_sdram_slave_p2_cs_n;
+ litedramcore_slave_p2_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p2_rddata <= litedramcore_master_p2_rddata;
end else begin
- soc_sdram_master_p2_cs_n <= soc_sdram_inti_p2_cs_n;
end
// synthesis translate_off
- dummy_d_36 = dummy_s;
+ dummy_d_23 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_37;
+reg dummy_d_24;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_ras_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_ras_n <= soc_sdram_slave_p2_ras_n;
+ litedramcore_master_p2_we_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_we_n <= litedramcore_slave_p2_we_n;
end else begin
- soc_sdram_master_p2_ras_n <= soc_sdram_inti_p2_ras_n;
+ litedramcore_master_p2_we_n <= litedramcore_inti_p2_we_n;
end
// synthesis translate_off
- dummy_d_37 = dummy_s;
+ dummy_d_24 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_38;
+reg dummy_d_25;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p2_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p2_rddata <= soc_sdram_master_p2_rddata;
+ litedramcore_slave_p2_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p2_rddata_valid <= litedramcore_master_p2_rddata_valid;
end else begin
end
// synthesis translate_off
- dummy_d_38 = dummy_s;
+ dummy_d_25 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_39;
+reg dummy_d_26;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_we_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_we_n <= soc_sdram_slave_p2_we_n;
+ litedramcore_master_p2_cke <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_cke <= litedramcore_slave_p2_cke;
end else begin
- soc_sdram_master_p2_we_n <= soc_sdram_inti_p2_we_n;
+ litedramcore_master_p2_cke <= litedramcore_inti_p2_cke;
end
// synthesis translate_off
- dummy_d_39 = dummy_s;
+ dummy_d_26 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_40;
+reg dummy_d_27;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p2_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p2_rddata_valid <= soc_sdram_master_p2_rddata_valid;
+ litedramcore_master_p2_odt <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_odt <= litedramcore_slave_p2_odt;
end else begin
+ litedramcore_master_p2_odt <= litedramcore_inti_p2_odt;
end
// synthesis translate_off
- dummy_d_40 = dummy_s;
+ dummy_d_27 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_41;
+reg dummy_d_28;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_cke <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_cke <= soc_sdram_slave_p2_cke;
+ litedramcore_master_p2_reset_n <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_reset_n <= litedramcore_slave_p2_reset_n;
end else begin
- soc_sdram_master_p2_cke <= soc_sdram_inti_p2_cke;
+ litedramcore_master_p2_reset_n <= litedramcore_inti_p2_reset_n;
end
// synthesis translate_off
- dummy_d_41 = dummy_s;
+ dummy_d_28 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_42;
+reg dummy_d_29;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_odt <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_odt <= soc_sdram_slave_p2_odt;
+ litedramcore_master_p2_act_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_act_n <= litedramcore_slave_p2_act_n;
end else begin
- soc_sdram_master_p2_odt <= soc_sdram_inti_p2_odt;
+ litedramcore_master_p2_act_n <= litedramcore_inti_p2_act_n;
end
// synthesis translate_off
- dummy_d_42 = dummy_s;
+ dummy_d_29 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_43;
+reg dummy_d_30;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_reset_n <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_reset_n <= soc_sdram_slave_p2_reset_n;
+ litedramcore_master_p2_wrdata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_wrdata <= litedramcore_slave_p2_wrdata;
end else begin
- soc_sdram_master_p2_reset_n <= soc_sdram_inti_p2_reset_n;
+ litedramcore_master_p2_wrdata <= litedramcore_inti_p2_wrdata;
end
// synthesis translate_off
- dummy_d_43 = dummy_s;
+ dummy_d_30 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_44;
+reg dummy_d_31;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_act_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_act_n <= soc_sdram_slave_p2_act_n;
+ litedramcore_inti_p3_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_master_p2_act_n <= soc_sdram_inti_p2_act_n;
+ litedramcore_inti_p3_rddata <= litedramcore_master_p3_rddata;
end
// synthesis translate_off
- dummy_d_44 = dummy_s;
+ dummy_d_31 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_32;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p2_wrdata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_wrdata_en <= litedramcore_slave_p2_wrdata_en;
+ end else begin
+ litedramcore_master_p2_wrdata_en <= litedramcore_inti_p2_wrdata_en;
+ end
+// synthesis translate_off
+ dummy_d_32 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_33;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_inti_p3_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ end else begin
+ litedramcore_inti_p3_rddata_valid <= litedramcore_master_p3_rddata_valid;
+ end
+// synthesis translate_off
+ dummy_d_33 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_34;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p2_wrdata_mask <= 4'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_wrdata_mask <= litedramcore_slave_p2_wrdata_mask;
+ end else begin
+ litedramcore_master_p2_wrdata_mask <= litedramcore_inti_p2_wrdata_mask;
+ end
+// synthesis translate_off
+ dummy_d_34 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_35;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p2_rddata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_rddata_en <= litedramcore_slave_p2_rddata_en;
+ end else begin
+ litedramcore_master_p2_rddata_en <= litedramcore_inti_p2_rddata_en;
+ end
+// synthesis translate_off
+ dummy_d_35 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_36;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p3_address <= 15'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_address <= litedramcore_slave_p3_address;
+ end else begin
+ litedramcore_master_p3_address <= litedramcore_inti_p3_address;
+ end
+// synthesis translate_off
+ dummy_d_36 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_37;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p3_bank <= 3'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_bank <= litedramcore_slave_p3_bank;
+ end else begin
+ litedramcore_master_p3_bank <= litedramcore_inti_p3_bank;
+ end
+// synthesis translate_off
+ dummy_d_37 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_38;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p3_cas_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_cas_n <= litedramcore_slave_p3_cas_n;
+ end else begin
+ litedramcore_master_p3_cas_n <= litedramcore_inti_p3_cas_n;
+ end
+// synthesis translate_off
+ dummy_d_38 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_39;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p3_cs_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_cs_n <= litedramcore_slave_p3_cs_n;
+ end else begin
+ litedramcore_master_p3_cs_n <= litedramcore_inti_p3_cs_n;
+ end
+// synthesis translate_off
+ dummy_d_39 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_40;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p3_ras_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_ras_n <= litedramcore_slave_p3_ras_n;
+ end else begin
+ litedramcore_master_p3_ras_n <= litedramcore_inti_p3_ras_n;
+ end
+// synthesis translate_off
+ dummy_d_40 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_41;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_slave_p3_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p3_rddata <= litedramcore_master_p3_rddata;
+ end else begin
+ end
+// synthesis translate_off
+ dummy_d_41 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_42;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p3_we_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_we_n <= litedramcore_slave_p3_we_n;
+ end else begin
+ litedramcore_master_p3_we_n <= litedramcore_inti_p3_we_n;
+ end
+// synthesis translate_off
+ dummy_d_42 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_43;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_slave_p3_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p3_rddata_valid <= litedramcore_master_p3_rddata_valid;
+ end else begin
+ end
+// synthesis translate_off
+ dummy_d_43 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_44;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_master_p3_cke <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_cke <= litedramcore_slave_p3_cke;
+ end else begin
+ litedramcore_master_p3_cke <= litedramcore_inti_p3_cke;
+ end
+// synthesis translate_off
+ dummy_d_44 = dummy_s;
// synthesis translate_on
end
reg dummy_d_45;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_wrdata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_wrdata <= soc_sdram_slave_p2_wrdata;
+ litedramcore_master_p3_odt <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_odt <= litedramcore_slave_p3_odt;
end else begin
- soc_sdram_master_p2_wrdata <= soc_sdram_inti_p2_wrdata;
+ litedramcore_master_p3_odt <= litedramcore_inti_p3_odt;
end
// synthesis translate_off
dummy_d_45 = dummy_s;
reg dummy_d_46;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p3_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_master_p3_reset_n <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_reset_n <= litedramcore_slave_p3_reset_n;
end else begin
- soc_sdram_inti_p3_rddata <= soc_sdram_master_p3_rddata;
+ litedramcore_master_p3_reset_n <= litedramcore_inti_p3_reset_n;
end
// synthesis translate_off
dummy_d_46 = dummy_s;
reg dummy_d_47;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_wrdata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_wrdata_en <= soc_sdram_slave_p2_wrdata_en;
+ litedramcore_master_p3_act_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_act_n <= litedramcore_slave_p3_act_n;
end else begin
- soc_sdram_master_p2_wrdata_en <= soc_sdram_inti_p2_wrdata_en;
+ litedramcore_master_p3_act_n <= litedramcore_inti_p3_act_n;
end
// synthesis translate_off
dummy_d_47 = dummy_s;
reg dummy_d_48;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p3_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_master_p3_wrdata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_wrdata <= litedramcore_slave_p3_wrdata;
end else begin
- soc_sdram_inti_p3_rddata_valid <= soc_sdram_master_p3_rddata_valid;
+ litedramcore_master_p3_wrdata <= litedramcore_inti_p3_wrdata;
end
// synthesis translate_off
dummy_d_48 = dummy_s;
reg dummy_d_49;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_wrdata_mask <= 4'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_wrdata_mask <= soc_sdram_slave_p2_wrdata_mask;
+ litedramcore_inti_p0_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_master_p2_wrdata_mask <= soc_sdram_inti_p2_wrdata_mask;
+ litedramcore_inti_p0_rddata <= litedramcore_master_p0_rddata;
end
// synthesis translate_off
dummy_d_49 = dummy_s;
reg dummy_d_50;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_rddata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_rddata_en <= soc_sdram_slave_p2_rddata_en;
+ litedramcore_master_p3_wrdata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_wrdata_en <= litedramcore_slave_p3_wrdata_en;
end else begin
- soc_sdram_master_p2_rddata_en <= soc_sdram_inti_p2_rddata_en;
+ litedramcore_master_p3_wrdata_en <= litedramcore_inti_p3_wrdata_en;
end
// synthesis translate_off
dummy_d_50 = dummy_s;
reg dummy_d_51;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_address <= 15'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_address <= soc_sdram_slave_p3_address;
+ litedramcore_inti_p0_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_master_p3_address <= soc_sdram_inti_p3_address;
+ litedramcore_inti_p0_rddata_valid <= litedramcore_master_p0_rddata_valid;
end
// synthesis translate_off
dummy_d_51 = dummy_s;
reg dummy_d_52;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_bank <= 3'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_bank <= soc_sdram_slave_p3_bank;
+ litedramcore_master_p3_wrdata_mask <= 4'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_wrdata_mask <= litedramcore_slave_p3_wrdata_mask;
end else begin
- soc_sdram_master_p3_bank <= soc_sdram_inti_p3_bank;
+ litedramcore_master_p3_wrdata_mask <= litedramcore_inti_p3_wrdata_mask;
end
// synthesis translate_off
dummy_d_52 = dummy_s;
reg dummy_d_53;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_cas_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_cas_n <= soc_sdram_slave_p3_cas_n;
+ litedramcore_master_p3_rddata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p3_rddata_en <= litedramcore_slave_p3_rddata_en;
end else begin
- soc_sdram_master_p3_cas_n <= soc_sdram_inti_p3_cas_n;
+ litedramcore_master_p3_rddata_en <= litedramcore_inti_p3_rddata_en;
end
// synthesis translate_off
dummy_d_53 = dummy_s;
reg dummy_d_54;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_cs_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_cs_n <= soc_sdram_slave_p3_cs_n;
+ litedramcore_master_p0_address <= 15'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_address <= litedramcore_slave_p0_address;
end else begin
- soc_sdram_master_p3_cs_n <= soc_sdram_inti_p3_cs_n;
+ litedramcore_master_p0_address <= litedramcore_inti_p0_address;
end
// synthesis translate_off
dummy_d_54 = dummy_s;
reg dummy_d_55;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_ras_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_ras_n <= soc_sdram_slave_p3_ras_n;
+ litedramcore_master_p0_bank <= 3'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_bank <= litedramcore_slave_p0_bank;
end else begin
- soc_sdram_master_p3_ras_n <= soc_sdram_inti_p3_ras_n;
+ litedramcore_master_p0_bank <= litedramcore_inti_p0_bank;
end
// synthesis translate_off
dummy_d_55 = dummy_s;
reg dummy_d_56;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p3_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p3_rddata <= soc_sdram_master_p3_rddata;
+ litedramcore_master_p0_cas_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_cas_n <= litedramcore_slave_p0_cas_n;
end else begin
+ litedramcore_master_p0_cas_n <= litedramcore_inti_p0_cas_n;
end
// synthesis translate_off
dummy_d_56 = dummy_s;
reg dummy_d_57;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_we_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_we_n <= soc_sdram_slave_p3_we_n;
+ litedramcore_master_p0_cs_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_cs_n <= litedramcore_slave_p0_cs_n;
end else begin
- soc_sdram_master_p3_we_n <= soc_sdram_inti_p3_we_n;
+ litedramcore_master_p0_cs_n <= litedramcore_inti_p0_cs_n;
end
// synthesis translate_off
dummy_d_57 = dummy_s;
reg dummy_d_58;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p3_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p3_rddata_valid <= soc_sdram_master_p3_rddata_valid;
+ litedramcore_master_p0_ras_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_ras_n <= litedramcore_slave_p0_ras_n;
end else begin
+ litedramcore_master_p0_ras_n <= litedramcore_inti_p0_ras_n;
end
// synthesis translate_off
dummy_d_58 = dummy_s;
reg dummy_d_59;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_cke <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_cke <= soc_sdram_slave_p3_cke;
+ litedramcore_slave_p0_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p0_rddata <= litedramcore_master_p0_rddata;
end else begin
- soc_sdram_master_p3_cke <= soc_sdram_inti_p3_cke;
end
// synthesis translate_off
dummy_d_59 = dummy_s;
reg dummy_d_60;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_odt <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_odt <= soc_sdram_slave_p3_odt;
+ litedramcore_master_p0_we_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_we_n <= litedramcore_slave_p0_we_n;
end else begin
- soc_sdram_master_p3_odt <= soc_sdram_inti_p3_odt;
+ litedramcore_master_p0_we_n <= litedramcore_inti_p0_we_n;
end
// synthesis translate_off
dummy_d_60 = dummy_s;
reg dummy_d_61;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_reset_n <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_reset_n <= soc_sdram_slave_p3_reset_n;
+ litedramcore_slave_p0_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p0_rddata_valid <= litedramcore_master_p0_rddata_valid;
end else begin
- soc_sdram_master_p3_reset_n <= soc_sdram_inti_p3_reset_n;
end
// synthesis translate_off
dummy_d_61 = dummy_s;
reg dummy_d_62;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_act_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_act_n <= soc_sdram_slave_p3_act_n;
+ litedramcore_master_p0_cke <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_cke <= litedramcore_slave_p0_cke;
end else begin
- soc_sdram_master_p3_act_n <= soc_sdram_inti_p3_act_n;
+ litedramcore_master_p0_cke <= litedramcore_inti_p0_cke;
end
// synthesis translate_off
dummy_d_62 = dummy_s;
reg dummy_d_63;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_wrdata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_wrdata <= soc_sdram_slave_p3_wrdata;
+ litedramcore_master_p0_odt <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_odt <= litedramcore_slave_p0_odt;
end else begin
- soc_sdram_master_p3_wrdata <= soc_sdram_inti_p3_wrdata;
+ litedramcore_master_p0_odt <= litedramcore_inti_p0_odt;
end
// synthesis translate_off
dummy_d_63 = dummy_s;
reg dummy_d_64;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p0_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_master_p0_reset_n <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_reset_n <= litedramcore_slave_p0_reset_n;
end else begin
- soc_sdram_inti_p0_rddata <= soc_sdram_master_p0_rddata;
+ litedramcore_master_p0_reset_n <= litedramcore_inti_p0_reset_n;
end
// synthesis translate_off
dummy_d_64 = dummy_s;
reg dummy_d_65;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_wrdata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_wrdata_en <= soc_sdram_slave_p3_wrdata_en;
+ litedramcore_master_p0_act_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_act_n <= litedramcore_slave_p0_act_n;
end else begin
- soc_sdram_master_p3_wrdata_en <= soc_sdram_inti_p3_wrdata_en;
+ litedramcore_master_p0_act_n <= litedramcore_inti_p0_act_n;
end
// synthesis translate_off
dummy_d_65 = dummy_s;
reg dummy_d_66;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p0_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_master_p0_wrdata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_wrdata <= litedramcore_slave_p0_wrdata;
end else begin
- soc_sdram_inti_p0_rddata_valid <= soc_sdram_master_p0_rddata_valid;
+ litedramcore_master_p0_wrdata <= litedramcore_inti_p0_wrdata;
end
// synthesis translate_off
dummy_d_66 = dummy_s;
reg dummy_d_67;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_wrdata_mask <= 4'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_wrdata_mask <= soc_sdram_slave_p3_wrdata_mask;
+ litedramcore_inti_p1_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_master_p3_wrdata_mask <= soc_sdram_inti_p3_wrdata_mask;
+ litedramcore_inti_p1_rddata <= litedramcore_master_p1_rddata;
end
// synthesis translate_off
dummy_d_67 = dummy_s;
reg dummy_d_68;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p3_rddata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p3_rddata_en <= soc_sdram_slave_p3_rddata_en;
+ litedramcore_master_p0_wrdata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_wrdata_en <= litedramcore_slave_p0_wrdata_en;
end else begin
- soc_sdram_master_p3_rddata_en <= soc_sdram_inti_p3_rddata_en;
+ litedramcore_master_p0_wrdata_en <= litedramcore_inti_p0_wrdata_en;
end
// synthesis translate_off
dummy_d_68 = dummy_s;
reg dummy_d_69;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_address <= 15'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_address <= soc_sdram_slave_p0_address;
+ litedramcore_inti_p1_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_master_p0_address <= soc_sdram_inti_p0_address;
+ litedramcore_inti_p1_rddata_valid <= litedramcore_master_p1_rddata_valid;
end
// synthesis translate_off
dummy_d_69 = dummy_s;
reg dummy_d_70;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_bank <= 3'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_bank <= soc_sdram_slave_p0_bank;
+ litedramcore_master_p0_wrdata_mask <= 4'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_wrdata_mask <= litedramcore_slave_p0_wrdata_mask;
end else begin
- soc_sdram_master_p0_bank <= soc_sdram_inti_p0_bank;
+ litedramcore_master_p0_wrdata_mask <= litedramcore_inti_p0_wrdata_mask;
end
// synthesis translate_off
dummy_d_70 = dummy_s;
reg dummy_d_71;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_cas_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_cas_n <= soc_sdram_slave_p0_cas_n;
+ litedramcore_master_p0_rddata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p0_rddata_en <= litedramcore_slave_p0_rddata_en;
end else begin
- soc_sdram_master_p0_cas_n <= soc_sdram_inti_p0_cas_n;
+ litedramcore_master_p0_rddata_en <= litedramcore_inti_p0_rddata_en;
end
// synthesis translate_off
dummy_d_71 = dummy_s;
reg dummy_d_72;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_cs_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_cs_n <= soc_sdram_slave_p0_cs_n;
+ litedramcore_master_p1_address <= 15'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_address <= litedramcore_slave_p1_address;
end else begin
- soc_sdram_master_p0_cs_n <= soc_sdram_inti_p0_cs_n;
+ litedramcore_master_p1_address <= litedramcore_inti_p1_address;
end
// synthesis translate_off
dummy_d_72 = dummy_s;
reg dummy_d_73;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_ras_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_ras_n <= soc_sdram_slave_p0_ras_n;
+ litedramcore_master_p1_bank <= 3'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_bank <= litedramcore_slave_p1_bank;
end else begin
- soc_sdram_master_p0_ras_n <= soc_sdram_inti_p0_ras_n;
+ litedramcore_master_p1_bank <= litedramcore_inti_p1_bank;
end
// synthesis translate_off
dummy_d_73 = dummy_s;
reg dummy_d_74;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p0_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p0_rddata <= soc_sdram_master_p0_rddata;
+ litedramcore_master_p1_cas_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_cas_n <= litedramcore_slave_p1_cas_n;
end else begin
+ litedramcore_master_p1_cas_n <= litedramcore_inti_p1_cas_n;
end
// synthesis translate_off
dummy_d_74 = dummy_s;
reg dummy_d_75;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_we_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_we_n <= soc_sdram_slave_p0_we_n;
+ litedramcore_master_p1_cs_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_cs_n <= litedramcore_slave_p1_cs_n;
end else begin
- soc_sdram_master_p0_we_n <= soc_sdram_inti_p0_we_n;
+ litedramcore_master_p1_cs_n <= litedramcore_inti_p1_cs_n;
end
// synthesis translate_off
dummy_d_75 = dummy_s;
reg dummy_d_76;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p0_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p0_rddata_valid <= soc_sdram_master_p0_rddata_valid;
+ litedramcore_master_p1_ras_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_ras_n <= litedramcore_slave_p1_ras_n;
end else begin
+ litedramcore_master_p1_ras_n <= litedramcore_inti_p1_ras_n;
end
// synthesis translate_off
dummy_d_76 = dummy_s;
reg dummy_d_77;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_cke <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_cke <= soc_sdram_slave_p0_cke;
+ litedramcore_slave_p1_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p1_rddata <= litedramcore_master_p1_rddata;
end else begin
- soc_sdram_master_p0_cke <= soc_sdram_inti_p0_cke;
end
// synthesis translate_off
dummy_d_77 = dummy_s;
reg dummy_d_78;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_odt <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_odt <= soc_sdram_slave_p0_odt;
+ litedramcore_master_p1_we_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_we_n <= litedramcore_slave_p1_we_n;
end else begin
- soc_sdram_master_p0_odt <= soc_sdram_inti_p0_odt;
+ litedramcore_master_p1_we_n <= litedramcore_inti_p1_we_n;
end
// synthesis translate_off
dummy_d_78 = dummy_s;
reg dummy_d_79;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_reset_n <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_reset_n <= soc_sdram_slave_p0_reset_n;
+ litedramcore_slave_p1_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_slave_p1_rddata_valid <= litedramcore_master_p1_rddata_valid;
end else begin
- soc_sdram_master_p0_reset_n <= soc_sdram_inti_p0_reset_n;
end
// synthesis translate_off
dummy_d_79 = dummy_s;
reg dummy_d_80;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_act_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_act_n <= soc_sdram_slave_p0_act_n;
+ litedramcore_master_p1_cke <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_cke <= litedramcore_slave_p1_cke;
end else begin
- soc_sdram_master_p0_act_n <= soc_sdram_inti_p0_act_n;
+ litedramcore_master_p1_cke <= litedramcore_inti_p1_cke;
end
// synthesis translate_off
dummy_d_80 = dummy_s;
reg dummy_d_81;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_wrdata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_wrdata <= soc_sdram_slave_p0_wrdata;
+ litedramcore_master_p1_odt <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_odt <= litedramcore_slave_p1_odt;
end else begin
- soc_sdram_master_p0_wrdata <= soc_sdram_inti_p0_wrdata;
+ litedramcore_master_p1_odt <= litedramcore_inti_p1_odt;
end
// synthesis translate_off
dummy_d_81 = dummy_s;
reg dummy_d_82;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p1_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_master_p1_reset_n <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_reset_n <= litedramcore_slave_p1_reset_n;
end else begin
- soc_sdram_inti_p1_rddata <= soc_sdram_master_p1_rddata;
+ litedramcore_master_p1_reset_n <= litedramcore_inti_p1_reset_n;
end
// synthesis translate_off
dummy_d_82 = dummy_s;
reg dummy_d_83;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_wrdata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_wrdata_en <= soc_sdram_slave_p0_wrdata_en;
+ litedramcore_master_p1_act_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_act_n <= litedramcore_slave_p1_act_n;
end else begin
- soc_sdram_master_p0_wrdata_en <= soc_sdram_inti_p0_wrdata_en;
+ litedramcore_master_p1_act_n <= litedramcore_inti_p1_act_n;
end
// synthesis translate_off
dummy_d_83 = dummy_s;
reg dummy_d_84;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p1_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_master_p1_wrdata <= 32'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_wrdata <= litedramcore_slave_p1_wrdata;
end else begin
- soc_sdram_inti_p1_rddata_valid <= soc_sdram_master_p1_rddata_valid;
+ litedramcore_master_p1_wrdata <= litedramcore_inti_p1_wrdata;
end
// synthesis translate_off
dummy_d_84 = dummy_s;
reg dummy_d_85;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_wrdata_mask <= 4'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_wrdata_mask <= soc_sdram_slave_p0_wrdata_mask;
+ litedramcore_inti_p2_rddata <= 32'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_master_p0_wrdata_mask <= soc_sdram_inti_p0_wrdata_mask;
+ litedramcore_inti_p2_rddata <= litedramcore_master_p2_rddata;
end
// synthesis translate_off
dummy_d_85 = dummy_s;
reg dummy_d_86;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p0_rddata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p0_rddata_en <= soc_sdram_slave_p0_rddata_en;
+ litedramcore_master_p1_wrdata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_wrdata_en <= litedramcore_slave_p1_wrdata_en;
end else begin
- soc_sdram_master_p0_rddata_en <= soc_sdram_inti_p0_rddata_en;
+ litedramcore_master_p1_wrdata_en <= litedramcore_inti_p1_wrdata_en;
end
// synthesis translate_off
dummy_d_86 = dummy_s;
reg dummy_d_87;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_address <= 15'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_address <= soc_sdram_slave_p1_address;
+ litedramcore_inti_p2_rddata_valid <= 1'd0;
+ if (litedramcore_storage[0]) begin
end else begin
- soc_sdram_master_p1_address <= soc_sdram_inti_p1_address;
+ litedramcore_inti_p2_rddata_valid <= litedramcore_master_p2_rddata_valid;
end
// synthesis translate_off
dummy_d_87 = dummy_s;
reg dummy_d_88;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_bank <= 3'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_bank <= soc_sdram_slave_p1_bank;
+ litedramcore_master_p1_wrdata_mask <= 4'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_wrdata_mask <= litedramcore_slave_p1_wrdata_mask;
end else begin
- soc_sdram_master_p1_bank <= soc_sdram_inti_p1_bank;
+ litedramcore_master_p1_wrdata_mask <= litedramcore_inti_p1_wrdata_mask;
end
// synthesis translate_off
dummy_d_88 = dummy_s;
reg dummy_d_89;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_cas_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_cas_n <= soc_sdram_slave_p1_cas_n;
+ litedramcore_master_p1_rddata_en <= 1'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p1_rddata_en <= litedramcore_slave_p1_rddata_en;
end else begin
- soc_sdram_master_p1_cas_n <= soc_sdram_inti_p1_cas_n;
+ litedramcore_master_p1_rddata_en <= litedramcore_inti_p1_rddata_en;
end
// synthesis translate_off
dummy_d_89 = dummy_s;
reg dummy_d_90;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_cs_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_cs_n <= soc_sdram_slave_p1_cs_n;
+ litedramcore_master_p2_address <= 15'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_address <= litedramcore_slave_p2_address;
end else begin
- soc_sdram_master_p1_cs_n <= soc_sdram_inti_p1_cs_n;
+ litedramcore_master_p2_address <= litedramcore_inti_p2_address;
end
// synthesis translate_off
dummy_d_90 = dummy_s;
reg dummy_d_91;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_ras_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_ras_n <= soc_sdram_slave_p1_ras_n;
+ litedramcore_master_p2_bank <= 3'd0;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_bank <= litedramcore_slave_p2_bank;
end else begin
- soc_sdram_master_p1_ras_n <= soc_sdram_inti_p1_ras_n;
+ litedramcore_master_p2_bank <= litedramcore_inti_p2_bank;
end
// synthesis translate_off
dummy_d_91 = dummy_s;
reg dummy_d_92;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p1_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p1_rddata <= soc_sdram_master_p1_rddata;
+ litedramcore_master_p2_cas_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_cas_n <= litedramcore_slave_p2_cas_n;
end else begin
+ litedramcore_master_p2_cas_n <= litedramcore_inti_p2_cas_n;
end
// synthesis translate_off
dummy_d_92 = dummy_s;
reg dummy_d_93;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_we_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_we_n <= soc_sdram_slave_p1_we_n;
+ litedramcore_master_p2_cs_n <= 1'd1;
+ if (litedramcore_storage[0]) begin
+ litedramcore_master_p2_cs_n <= litedramcore_slave_p2_cs_n;
end else begin
- soc_sdram_master_p1_we_n <= soc_sdram_inti_p1_we_n;
+ litedramcore_master_p2_cs_n <= litedramcore_inti_p2_cs_n;
end
// synthesis translate_off
dummy_d_93 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_inti_p0_cke = litedramcore_storage[1];
+assign litedramcore_inti_p1_cke = litedramcore_storage[1];
+assign litedramcore_inti_p2_cke = litedramcore_storage[1];
+assign litedramcore_inti_p3_cke = litedramcore_storage[1];
+assign litedramcore_inti_p0_odt = litedramcore_storage[2];
+assign litedramcore_inti_p1_odt = litedramcore_storage[2];
+assign litedramcore_inti_p2_odt = litedramcore_storage[2];
+assign litedramcore_inti_p3_odt = litedramcore_storage[2];
+assign litedramcore_inti_p0_reset_n = litedramcore_storage[3];
+assign litedramcore_inti_p1_reset_n = litedramcore_storage[3];
+assign litedramcore_inti_p2_reset_n = litedramcore_storage[3];
+assign litedramcore_inti_p3_reset_n = litedramcore_storage[3];
// synthesis translate_off
reg dummy_d_94;
// synthesis translate_on
always @(*) begin
- soc_sdram_slave_p1_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_slave_p1_rddata_valid <= soc_sdram_master_p1_rddata_valid;
+ litedramcore_inti_p0_ras_n <= 1'd1;
+ if (litedramcore_phaseinjector0_command_issue_re) begin
+ litedramcore_inti_p0_ras_n <= (~litedramcore_phaseinjector0_command_storage[3]);
end else begin
+ litedramcore_inti_p0_ras_n <= 1'd1;
end
// synthesis translate_off
dummy_d_94 = dummy_s;
reg dummy_d_95;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_cke <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_cke <= soc_sdram_slave_p1_cke;
+ litedramcore_inti_p0_we_n <= 1'd1;
+ if (litedramcore_phaseinjector0_command_issue_re) begin
+ litedramcore_inti_p0_we_n <= (~litedramcore_phaseinjector0_command_storage[1]);
end else begin
- soc_sdram_master_p1_cke <= soc_sdram_inti_p1_cke;
+ litedramcore_inti_p0_we_n <= 1'd1;
end
// synthesis translate_off
dummy_d_95 = dummy_s;
reg dummy_d_96;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_odt <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_odt <= soc_sdram_slave_p1_odt;
+ litedramcore_inti_p0_cas_n <= 1'd1;
+ if (litedramcore_phaseinjector0_command_issue_re) begin
+ litedramcore_inti_p0_cas_n <= (~litedramcore_phaseinjector0_command_storage[2]);
end else begin
- soc_sdram_master_p1_odt <= soc_sdram_inti_p1_odt;
+ litedramcore_inti_p0_cas_n <= 1'd1;
end
// synthesis translate_off
dummy_d_96 = dummy_s;
reg dummy_d_97;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_reset_n <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_reset_n <= soc_sdram_slave_p1_reset_n;
+ litedramcore_inti_p0_cs_n <= 1'd1;
+ if (litedramcore_phaseinjector0_command_issue_re) begin
+ litedramcore_inti_p0_cs_n <= {1{(~litedramcore_phaseinjector0_command_storage[0])}};
end else begin
- soc_sdram_master_p1_reset_n <= soc_sdram_inti_p1_reset_n;
+ litedramcore_inti_p0_cs_n <= {1{1'd1}};
end
// synthesis translate_off
dummy_d_97 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_inti_p0_address = litedramcore_phaseinjector0_address_storage;
+assign litedramcore_inti_p0_bank = litedramcore_phaseinjector0_baddress_storage;
+assign litedramcore_inti_p0_wrdata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_command_storage[4]);
+assign litedramcore_inti_p0_rddata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_command_storage[5]);
+assign litedramcore_inti_p0_wrdata = litedramcore_phaseinjector0_wrdata_storage;
+assign litedramcore_inti_p0_wrdata_mask = 1'd0;
// synthesis translate_off
reg dummy_d_98;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_act_n <= 1'd1;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_act_n <= soc_sdram_slave_p1_act_n;
+ litedramcore_inti_p1_ras_n <= 1'd1;
+ if (litedramcore_phaseinjector1_command_issue_re) begin
+ litedramcore_inti_p1_ras_n <= (~litedramcore_phaseinjector1_command_storage[3]);
end else begin
- soc_sdram_master_p1_act_n <= soc_sdram_inti_p1_act_n;
+ litedramcore_inti_p1_ras_n <= 1'd1;
end
// synthesis translate_off
dummy_d_98 = dummy_s;
reg dummy_d_99;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_wrdata <= 32'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_wrdata <= soc_sdram_slave_p1_wrdata;
+ litedramcore_inti_p1_we_n <= 1'd1;
+ if (litedramcore_phaseinjector1_command_issue_re) begin
+ litedramcore_inti_p1_we_n <= (~litedramcore_phaseinjector1_command_storage[1]);
end else begin
- soc_sdram_master_p1_wrdata <= soc_sdram_inti_p1_wrdata;
+ litedramcore_inti_p1_we_n <= 1'd1;
end
// synthesis translate_off
dummy_d_99 = dummy_s;
reg dummy_d_100;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p2_rddata <= 32'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_inti_p1_cas_n <= 1'd1;
+ if (litedramcore_phaseinjector1_command_issue_re) begin
+ litedramcore_inti_p1_cas_n <= (~litedramcore_phaseinjector1_command_storage[2]);
end else begin
- soc_sdram_inti_p2_rddata <= soc_sdram_master_p2_rddata;
+ litedramcore_inti_p1_cas_n <= 1'd1;
end
// synthesis translate_off
dummy_d_100 = dummy_s;
reg dummy_d_101;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_wrdata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_wrdata_en <= soc_sdram_slave_p1_wrdata_en;
+ litedramcore_inti_p1_cs_n <= 1'd1;
+ if (litedramcore_phaseinjector1_command_issue_re) begin
+ litedramcore_inti_p1_cs_n <= {1{(~litedramcore_phaseinjector1_command_storage[0])}};
end else begin
- soc_sdram_master_p1_wrdata_en <= soc_sdram_inti_p1_wrdata_en;
+ litedramcore_inti_p1_cs_n <= {1{1'd1}};
end
// synthesis translate_off
dummy_d_101 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_inti_p1_address = litedramcore_phaseinjector1_address_storage;
+assign litedramcore_inti_p1_bank = litedramcore_phaseinjector1_baddress_storage;
+assign litedramcore_inti_p1_wrdata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_command_storage[4]);
+assign litedramcore_inti_p1_rddata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_command_storage[5]);
+assign litedramcore_inti_p1_wrdata = litedramcore_phaseinjector1_wrdata_storage;
+assign litedramcore_inti_p1_wrdata_mask = 1'd0;
// synthesis translate_off
reg dummy_d_102;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p2_rddata_valid <= 1'd0;
- if (soc_sdram_storage[0]) begin
+ litedramcore_inti_p2_ras_n <= 1'd1;
+ if (litedramcore_phaseinjector2_command_issue_re) begin
+ litedramcore_inti_p2_ras_n <= (~litedramcore_phaseinjector2_command_storage[3]);
end else begin
- soc_sdram_inti_p2_rddata_valid <= soc_sdram_master_p2_rddata_valid;
+ litedramcore_inti_p2_ras_n <= 1'd1;
end
// synthesis translate_off
dummy_d_102 = dummy_s;
reg dummy_d_103;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_wrdata_mask <= 4'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_wrdata_mask <= soc_sdram_slave_p1_wrdata_mask;
+ litedramcore_inti_p2_we_n <= 1'd1;
+ if (litedramcore_phaseinjector2_command_issue_re) begin
+ litedramcore_inti_p2_we_n <= (~litedramcore_phaseinjector2_command_storage[1]);
end else begin
- soc_sdram_master_p1_wrdata_mask <= soc_sdram_inti_p1_wrdata_mask;
+ litedramcore_inti_p2_we_n <= 1'd1;
end
// synthesis translate_off
dummy_d_103 = dummy_s;
reg dummy_d_104;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p1_rddata_en <= 1'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p1_rddata_en <= soc_sdram_slave_p1_rddata_en;
+ litedramcore_inti_p2_cas_n <= 1'd1;
+ if (litedramcore_phaseinjector2_command_issue_re) begin
+ litedramcore_inti_p2_cas_n <= (~litedramcore_phaseinjector2_command_storage[2]);
end else begin
- soc_sdram_master_p1_rddata_en <= soc_sdram_inti_p1_rddata_en;
+ litedramcore_inti_p2_cas_n <= 1'd1;
end
// synthesis translate_off
dummy_d_104 = dummy_s;
reg dummy_d_105;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_address <= 15'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_address <= soc_sdram_slave_p2_address;
+ litedramcore_inti_p2_cs_n <= 1'd1;
+ if (litedramcore_phaseinjector2_command_issue_re) begin
+ litedramcore_inti_p2_cs_n <= {1{(~litedramcore_phaseinjector2_command_storage[0])}};
end else begin
- soc_sdram_master_p2_address <= soc_sdram_inti_p2_address;
+ litedramcore_inti_p2_cs_n <= {1{1'd1}};
end
// synthesis translate_off
dummy_d_105 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_inti_p2_address = litedramcore_phaseinjector2_address_storage;
+assign litedramcore_inti_p2_bank = litedramcore_phaseinjector2_baddress_storage;
+assign litedramcore_inti_p2_wrdata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_command_storage[4]);
+assign litedramcore_inti_p2_rddata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_command_storage[5]);
+assign litedramcore_inti_p2_wrdata = litedramcore_phaseinjector2_wrdata_storage;
+assign litedramcore_inti_p2_wrdata_mask = 1'd0;
// synthesis translate_off
reg dummy_d_106;
// synthesis translate_on
always @(*) begin
- soc_sdram_master_p2_bank <= 3'd0;
- if (soc_sdram_storage[0]) begin
- soc_sdram_master_p2_bank <= soc_sdram_slave_p2_bank;
+ litedramcore_inti_p3_ras_n <= 1'd1;
+ if (litedramcore_phaseinjector3_command_issue_re) begin
+ litedramcore_inti_p3_ras_n <= (~litedramcore_phaseinjector3_command_storage[3]);
end else begin
- soc_sdram_master_p2_bank <= soc_sdram_inti_p2_bank;
+ litedramcore_inti_p3_ras_n <= 1'd1;
end
// synthesis translate_off
dummy_d_106 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_inti_p0_cke = soc_sdram_storage[1];
-assign soc_sdram_inti_p1_cke = soc_sdram_storage[1];
-assign soc_sdram_inti_p2_cke = soc_sdram_storage[1];
-assign soc_sdram_inti_p3_cke = soc_sdram_storage[1];
-assign soc_sdram_inti_p0_odt = soc_sdram_storage[2];
-assign soc_sdram_inti_p1_odt = soc_sdram_storage[2];
-assign soc_sdram_inti_p2_odt = soc_sdram_storage[2];
-assign soc_sdram_inti_p3_odt = soc_sdram_storage[2];
-assign soc_sdram_inti_p0_reset_n = soc_sdram_storage[3];
-assign soc_sdram_inti_p1_reset_n = soc_sdram_storage[3];
-assign soc_sdram_inti_p2_reset_n = soc_sdram_storage[3];
-assign soc_sdram_inti_p3_reset_n = soc_sdram_storage[3];
// synthesis translate_off
reg dummy_d_107;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p0_cas_n <= 1'd1;
- if (soc_sdram_phaseinjector0_command_issue_re) begin
- soc_sdram_inti_p0_cas_n <= (~soc_sdram_phaseinjector0_command_storage[2]);
+ litedramcore_inti_p3_we_n <= 1'd1;
+ if (litedramcore_phaseinjector3_command_issue_re) begin
+ litedramcore_inti_p3_we_n <= (~litedramcore_phaseinjector3_command_storage[1]);
end else begin
- soc_sdram_inti_p0_cas_n <= 1'd1;
+ litedramcore_inti_p3_we_n <= 1'd1;
end
// synthesis translate_off
dummy_d_107 = dummy_s;
reg dummy_d_108;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p0_cs_n <= 1'd1;
- if (soc_sdram_phaseinjector0_command_issue_re) begin
- soc_sdram_inti_p0_cs_n <= {1{(~soc_sdram_phaseinjector0_command_storage[0])}};
+ litedramcore_inti_p3_cas_n <= 1'd1;
+ if (litedramcore_phaseinjector3_command_issue_re) begin
+ litedramcore_inti_p3_cas_n <= (~litedramcore_phaseinjector3_command_storage[2]);
end else begin
- soc_sdram_inti_p0_cs_n <= {1{1'd1}};
+ litedramcore_inti_p3_cas_n <= 1'd1;
end
// synthesis translate_off
dummy_d_108 = dummy_s;
reg dummy_d_109;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p0_ras_n <= 1'd1;
- if (soc_sdram_phaseinjector0_command_issue_re) begin
- soc_sdram_inti_p0_ras_n <= (~soc_sdram_phaseinjector0_command_storage[3]);
+ litedramcore_inti_p3_cs_n <= 1'd1;
+ if (litedramcore_phaseinjector3_command_issue_re) begin
+ litedramcore_inti_p3_cs_n <= {1{(~litedramcore_phaseinjector3_command_storage[0])}};
end else begin
- soc_sdram_inti_p0_ras_n <= 1'd1;
+ litedramcore_inti_p3_cs_n <= {1{1'd1}};
end
// synthesis translate_off
dummy_d_109 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_inti_p3_address = litedramcore_phaseinjector3_address_storage;
+assign litedramcore_inti_p3_bank = litedramcore_phaseinjector3_baddress_storage;
+assign litedramcore_inti_p3_wrdata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_command_storage[4]);
+assign litedramcore_inti_p3_rddata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_command_storage[5]);
+assign litedramcore_inti_p3_wrdata = litedramcore_phaseinjector3_wrdata_storage;
+assign litedramcore_inti_p3_wrdata_mask = 1'd0;
+assign litedramcore_bankmachine0_req_valid = litedramcore_interface_bank0_valid;
+assign litedramcore_interface_bank0_ready = litedramcore_bankmachine0_req_ready;
+assign litedramcore_bankmachine0_req_we = litedramcore_interface_bank0_we;
+assign litedramcore_bankmachine0_req_addr = litedramcore_interface_bank0_addr;
+assign litedramcore_interface_bank0_lock = litedramcore_bankmachine0_req_lock;
+assign litedramcore_interface_bank0_wdata_ready = litedramcore_bankmachine0_req_wdata_ready;
+assign litedramcore_interface_bank0_rdata_valid = litedramcore_bankmachine0_req_rdata_valid;
+assign litedramcore_bankmachine1_req_valid = litedramcore_interface_bank1_valid;
+assign litedramcore_interface_bank1_ready = litedramcore_bankmachine1_req_ready;
+assign litedramcore_bankmachine1_req_we = litedramcore_interface_bank1_we;
+assign litedramcore_bankmachine1_req_addr = litedramcore_interface_bank1_addr;
+assign litedramcore_interface_bank1_lock = litedramcore_bankmachine1_req_lock;
+assign litedramcore_interface_bank1_wdata_ready = litedramcore_bankmachine1_req_wdata_ready;
+assign litedramcore_interface_bank1_rdata_valid = litedramcore_bankmachine1_req_rdata_valid;
+assign litedramcore_bankmachine2_req_valid = litedramcore_interface_bank2_valid;
+assign litedramcore_interface_bank2_ready = litedramcore_bankmachine2_req_ready;
+assign litedramcore_bankmachine2_req_we = litedramcore_interface_bank2_we;
+assign litedramcore_bankmachine2_req_addr = litedramcore_interface_bank2_addr;
+assign litedramcore_interface_bank2_lock = litedramcore_bankmachine2_req_lock;
+assign litedramcore_interface_bank2_wdata_ready = litedramcore_bankmachine2_req_wdata_ready;
+assign litedramcore_interface_bank2_rdata_valid = litedramcore_bankmachine2_req_rdata_valid;
+assign litedramcore_bankmachine3_req_valid = litedramcore_interface_bank3_valid;
+assign litedramcore_interface_bank3_ready = litedramcore_bankmachine3_req_ready;
+assign litedramcore_bankmachine3_req_we = litedramcore_interface_bank3_we;
+assign litedramcore_bankmachine3_req_addr = litedramcore_interface_bank3_addr;
+assign litedramcore_interface_bank3_lock = litedramcore_bankmachine3_req_lock;
+assign litedramcore_interface_bank3_wdata_ready = litedramcore_bankmachine3_req_wdata_ready;
+assign litedramcore_interface_bank3_rdata_valid = litedramcore_bankmachine3_req_rdata_valid;
+assign litedramcore_bankmachine4_req_valid = litedramcore_interface_bank4_valid;
+assign litedramcore_interface_bank4_ready = litedramcore_bankmachine4_req_ready;
+assign litedramcore_bankmachine4_req_we = litedramcore_interface_bank4_we;
+assign litedramcore_bankmachine4_req_addr = litedramcore_interface_bank4_addr;
+assign litedramcore_interface_bank4_lock = litedramcore_bankmachine4_req_lock;
+assign litedramcore_interface_bank4_wdata_ready = litedramcore_bankmachine4_req_wdata_ready;
+assign litedramcore_interface_bank4_rdata_valid = litedramcore_bankmachine4_req_rdata_valid;
+assign litedramcore_bankmachine5_req_valid = litedramcore_interface_bank5_valid;
+assign litedramcore_interface_bank5_ready = litedramcore_bankmachine5_req_ready;
+assign litedramcore_bankmachine5_req_we = litedramcore_interface_bank5_we;
+assign litedramcore_bankmachine5_req_addr = litedramcore_interface_bank5_addr;
+assign litedramcore_interface_bank5_lock = litedramcore_bankmachine5_req_lock;
+assign litedramcore_interface_bank5_wdata_ready = litedramcore_bankmachine5_req_wdata_ready;
+assign litedramcore_interface_bank5_rdata_valid = litedramcore_bankmachine5_req_rdata_valid;
+assign litedramcore_bankmachine6_req_valid = litedramcore_interface_bank6_valid;
+assign litedramcore_interface_bank6_ready = litedramcore_bankmachine6_req_ready;
+assign litedramcore_bankmachine6_req_we = litedramcore_interface_bank6_we;
+assign litedramcore_bankmachine6_req_addr = litedramcore_interface_bank6_addr;
+assign litedramcore_interface_bank6_lock = litedramcore_bankmachine6_req_lock;
+assign litedramcore_interface_bank6_wdata_ready = litedramcore_bankmachine6_req_wdata_ready;
+assign litedramcore_interface_bank6_rdata_valid = litedramcore_bankmachine6_req_rdata_valid;
+assign litedramcore_bankmachine7_req_valid = litedramcore_interface_bank7_valid;
+assign litedramcore_interface_bank7_ready = litedramcore_bankmachine7_req_ready;
+assign litedramcore_bankmachine7_req_we = litedramcore_interface_bank7_we;
+assign litedramcore_bankmachine7_req_addr = litedramcore_interface_bank7_addr;
+assign litedramcore_interface_bank7_lock = litedramcore_bankmachine7_req_lock;
+assign litedramcore_interface_bank7_wdata_ready = litedramcore_bankmachine7_req_wdata_ready;
+assign litedramcore_interface_bank7_rdata_valid = litedramcore_bankmachine7_req_rdata_valid;
+assign litedramcore_timer_wait = (~litedramcore_timer_done0);
+assign litedramcore_postponer_req_i = litedramcore_timer_done0;
+assign litedramcore_wants_refresh = litedramcore_postponer_req_o;
+assign litedramcore_wants_zqcs = litedramcore_zqcs_timer_done0;
+assign litedramcore_zqcs_timer_wait = (~litedramcore_zqcs_executer_done);
+assign litedramcore_timer_done1 = (litedramcore_timer_count1 == 1'd0);
+assign litedramcore_timer_done0 = litedramcore_timer_done1;
+assign litedramcore_timer_count0 = litedramcore_timer_count1;
+assign litedramcore_sequencer_start1 = (litedramcore_sequencer_start0 | (litedramcore_sequencer_count != 1'd0));
+assign litedramcore_sequencer_done0 = (litedramcore_sequencer_done1 & (litedramcore_sequencer_count == 1'd0));
+assign litedramcore_zqcs_timer_done1 = (litedramcore_zqcs_timer_count1 == 1'd0);
+assign litedramcore_zqcs_timer_done0 = litedramcore_zqcs_timer_done1;
+assign litedramcore_zqcs_timer_count0 = litedramcore_zqcs_timer_count1;
// synthesis translate_off
reg dummy_d_110;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p0_we_n <= 1'd1;
- if (soc_sdram_phaseinjector0_command_issue_re) begin
- soc_sdram_inti_p0_we_n <= (~soc_sdram_phaseinjector0_command_storage[1]);
- end else begin
- soc_sdram_inti_p0_we_n <= 1'd1;
- end
+ refresher_next_state <= 2'd0;
+ refresher_next_state <= refresher_state;
+ case (refresher_state)
+ 1'd1: begin
+ if (litedramcore_cmd_ready) begin
+ refresher_next_state <= 2'd2;
+ end
+ end
+ 2'd2: begin
+ if (litedramcore_sequencer_done0) begin
+ if (litedramcore_wants_zqcs) begin
+ refresher_next_state <= 2'd3;
+ end else begin
+ refresher_next_state <= 1'd0;
+ end
+ end
+ end
+ 2'd3: begin
+ if (litedramcore_zqcs_executer_done) begin
+ refresher_next_state <= 1'd0;
+ end
+ end
+ default: begin
+ if (1'd1) begin
+ if (litedramcore_wants_refresh) begin
+ refresher_next_state <= 1'd1;
+ end
+ end
+ end
+ endcase
// synthesis translate_off
dummy_d_110 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_inti_p0_address = soc_sdram_phaseinjector0_address_storage;
-assign soc_sdram_inti_p0_bank = soc_sdram_phaseinjector0_baddress_storage;
-assign soc_sdram_inti_p0_wrdata_en = (soc_sdram_phaseinjector0_command_issue_re & soc_sdram_phaseinjector0_command_storage[4]);
-assign soc_sdram_inti_p0_rddata_en = (soc_sdram_phaseinjector0_command_issue_re & soc_sdram_phaseinjector0_command_storage[5]);
-assign soc_sdram_inti_p0_wrdata = soc_sdram_phaseinjector0_wrdata_storage;
-assign soc_sdram_inti_p0_wrdata_mask = 1'd0;
// synthesis translate_off
reg dummy_d_111;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p1_cas_n <= 1'd1;
- if (soc_sdram_phaseinjector1_command_issue_re) begin
- soc_sdram_inti_p1_cas_n <= (~soc_sdram_phaseinjector1_command_storage[2]);
- end else begin
- soc_sdram_inti_p1_cas_n <= 1'd1;
- end
+ litedramcore_cmd_valid <= 1'd0;
+ case (refresher_state)
+ 1'd1: begin
+ litedramcore_cmd_valid <= 1'd1;
+ end
+ 2'd2: begin
+ litedramcore_cmd_valid <= 1'd1;
+ if (litedramcore_sequencer_done0) begin
+ if (litedramcore_wants_zqcs) begin
+ end else begin
+ litedramcore_cmd_valid <= 1'd0;
+ end
+ end
+ end
+ 2'd3: begin
+ litedramcore_cmd_valid <= 1'd1;
+ if (litedramcore_zqcs_executer_done) begin
+ litedramcore_cmd_valid <= 1'd0;
+ end
+ end
+ default: begin
+ end
+ endcase
// synthesis translate_off
dummy_d_111 = dummy_s;
// synthesis translate_on
reg dummy_d_112;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p1_cs_n <= 1'd1;
- if (soc_sdram_phaseinjector1_command_issue_re) begin
- soc_sdram_inti_p1_cs_n <= {1{(~soc_sdram_phaseinjector1_command_storage[0])}};
- end else begin
- soc_sdram_inti_p1_cs_n <= {1{1'd1}};
- end
+ litedramcore_zqcs_executer_start <= 1'd0;
+ case (refresher_state)
+ 1'd1: begin
+ end
+ 2'd2: begin
+ if (litedramcore_sequencer_done0) begin
+ if (litedramcore_wants_zqcs) begin
+ litedramcore_zqcs_executer_start <= 1'd1;
+ end else begin
+ end
+ end
+ end
+ 2'd3: begin
+ end
+ default: begin
+ end
+ endcase
// synthesis translate_off
dummy_d_112 = dummy_s;
// synthesis translate_on
reg dummy_d_113;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p1_ras_n <= 1'd1;
- if (soc_sdram_phaseinjector1_command_issue_re) begin
- soc_sdram_inti_p1_ras_n <= (~soc_sdram_phaseinjector1_command_storage[3]);
- end else begin
- soc_sdram_inti_p1_ras_n <= 1'd1;
- end
+ litedramcore_cmd_last <= 1'd0;
+ case (refresher_state)
+ 1'd1: begin
+ end
+ 2'd2: begin
+ if (litedramcore_sequencer_done0) begin
+ if (litedramcore_wants_zqcs) begin
+ end else begin
+ litedramcore_cmd_last <= 1'd1;
+ end
+ end
+ end
+ 2'd3: begin
+ if (litedramcore_zqcs_executer_done) begin
+ litedramcore_cmd_last <= 1'd1;
+ end
+ end
+ default: begin
+ end
+ endcase
// synthesis translate_off
dummy_d_113 = dummy_s;
// synthesis translate_on
reg dummy_d_114;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p1_we_n <= 1'd1;
- if (soc_sdram_phaseinjector1_command_issue_re) begin
- soc_sdram_inti_p1_we_n <= (~soc_sdram_phaseinjector1_command_storage[1]);
- end else begin
- soc_sdram_inti_p1_we_n <= 1'd1;
- end
+ litedramcore_sequencer_start0 <= 1'd0;
+ case (refresher_state)
+ 1'd1: begin
+ if (litedramcore_cmd_ready) begin
+ litedramcore_sequencer_start0 <= 1'd1;
+ end
+ end
+ 2'd2: begin
+ end
+ 2'd3: begin
+ end
+ default: begin
+ end
+ endcase
// synthesis translate_off
dummy_d_114 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_inti_p1_address = soc_sdram_phaseinjector1_address_storage;
-assign soc_sdram_inti_p1_bank = soc_sdram_phaseinjector1_baddress_storage;
-assign soc_sdram_inti_p1_wrdata_en = (soc_sdram_phaseinjector1_command_issue_re & soc_sdram_phaseinjector1_command_storage[4]);
-assign soc_sdram_inti_p1_rddata_en = (soc_sdram_phaseinjector1_command_issue_re & soc_sdram_phaseinjector1_command_storage[5]);
-assign soc_sdram_inti_p1_wrdata = soc_sdram_phaseinjector1_wrdata_storage;
-assign soc_sdram_inti_p1_wrdata_mask = 1'd0;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine0_req_valid;
+assign litedramcore_bankmachine0_req_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine0_req_we;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine0_req_addr;
+assign litedramcore_bankmachine0_cmd_buffer_sink_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine0_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine0_cmd_buffer_sink_first = litedramcore_bankmachine0_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine0_cmd_buffer_sink_last = litedramcore_bankmachine0_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine0_cmd_buffer_sink_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine0_cmd_buffer_sink_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine0_cmd_buffer_source_ready = (litedramcore_bankmachine0_req_wdata_ready | litedramcore_bankmachine0_req_rdata_valid);
+assign litedramcore_bankmachine0_req_lock = (litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine0_cmd_buffer_source_valid);
+assign litedramcore_bankmachine0_row_hit = (litedramcore_bankmachine0_row == litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7]);
+assign litedramcore_bankmachine0_cmd_payload_ba = 1'd0;
// synthesis translate_off
reg dummy_d_115;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p2_cas_n <= 1'd1;
- if (soc_sdram_phaseinjector2_command_issue_re) begin
- soc_sdram_inti_p2_cas_n <= (~soc_sdram_phaseinjector2_command_storage[2]);
+ litedramcore_bankmachine0_cmd_payload_a <= 15'd0;
+ if (litedramcore_bankmachine0_row_col_n_addr_sel) begin
+ litedramcore_bankmachine0_cmd_payload_a <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7];
end else begin
- soc_sdram_inti_p2_cas_n <= 1'd1;
+ litedramcore_bankmachine0_cmd_payload_a <= ((litedramcore_bankmachine0_auto_precharge <<< 4'd10) | {litedramcore_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
dummy_d_115 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine0_twtpcon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_cmd_payload_is_write);
+assign litedramcore_bankmachine0_trccon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open);
+assign litedramcore_bankmachine0_trascon_valid = ((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_ready) & litedramcore_bankmachine0_row_open);
// synthesis translate_off
reg dummy_d_116;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p2_cs_n <= 1'd1;
- if (soc_sdram_phaseinjector2_command_issue_re) begin
- soc_sdram_inti_p2_cs_n <= {1{(~soc_sdram_phaseinjector2_command_storage[0])}};
- end else begin
- soc_sdram_inti_p2_cs_n <= {1{1'd1}};
+ litedramcore_bankmachine0_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine0_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7])) begin
+ litedramcore_bankmachine0_auto_precharge <= (litedramcore_bankmachine0_row_close == 1'd0);
+ end
end
// synthesis translate_off
dummy_d_116 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
+assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
+assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
+assign {litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_first = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_last = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = litedramcore_bankmachine0_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
reg dummy_d_117;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p2_ras_n <= 1'd1;
- if (soc_sdram_phaseinjector2_command_issue_re) begin
- soc_sdram_inti_p2_ras_n <= (~soc_sdram_phaseinjector2_command_storage[3]);
+ litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine0_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce - 1'd1);
end else begin
- soc_sdram_inti_p2_ras_n <= 1'd1;
+ litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine0_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
dummy_d_117 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | litedramcore_bankmachine0_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_re);
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine0_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (litedramcore_bankmachine0_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine0_cmd_buffer_sink_ready = ((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready);
// synthesis translate_off
reg dummy_d_118;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p2_we_n <= 1'd1;
- if (soc_sdram_phaseinjector2_command_issue_re) begin
- soc_sdram_inti_p2_we_n <= (~soc_sdram_phaseinjector2_command_storage[1]);
- end else begin
- soc_sdram_inti_p2_we_n <= 1'd1;
- end
+ bankmachine0_next_state <= 4'd0;
+ bankmachine0_next_state <= bankmachine0_state;
+ case (bankmachine0_state)
+ 1'd1: begin
+ if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+ if (litedramcore_bankmachine0_cmd_ready) begin
+ bankmachine0_next_state <= 3'd5;
+ end
+ end
+ end
+ 2'd2: begin
+ if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+ bankmachine0_next_state <= 3'd5;
+ end
+ end
+ 2'd3: begin
+ if (litedramcore_bankmachine0_trccon_ready) begin
+ if (litedramcore_bankmachine0_cmd_ready) begin
+ bankmachine0_next_state <= 3'd7;
+ end
+ end
+ end
+ 3'd4: begin
+ if ((~litedramcore_bankmachine0_refresh_req)) begin
+ bankmachine0_next_state <= 1'd0;
+ end
+ end
+ 3'd5: begin
+ bankmachine0_next_state <= 3'd6;
+ end
+ 3'd6: begin
+ bankmachine0_next_state <= 2'd3;
+ end
+ 3'd7: begin
+ bankmachine0_next_state <= 4'd8;
+ end
+ 4'd8: begin
+ bankmachine0_next_state <= 1'd0;
+ end
+ default: begin
+ if (litedramcore_bankmachine0_refresh_req) begin
+ bankmachine0_next_state <= 3'd4;
+ end else begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ if ((litedramcore_bankmachine0_cmd_ready & litedramcore_bankmachine0_auto_precharge)) begin
+ bankmachine0_next_state <= 2'd2;
+ end
+ end else begin
+ bankmachine0_next_state <= 1'd1;
+ end
+ end else begin
+ bankmachine0_next_state <= 2'd3;
+ end
+ end
+ end
+ end
+ endcase
// synthesis translate_off
dummy_d_118 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_inti_p2_address = soc_sdram_phaseinjector2_address_storage;
-assign soc_sdram_inti_p2_bank = soc_sdram_phaseinjector2_baddress_storage;
-assign soc_sdram_inti_p2_wrdata_en = (soc_sdram_phaseinjector2_command_issue_re & soc_sdram_phaseinjector2_command_storage[4]);
-assign soc_sdram_inti_p2_rddata_en = (soc_sdram_phaseinjector2_command_issue_re & soc_sdram_phaseinjector2_command_storage[5]);
-assign soc_sdram_inti_p2_wrdata = soc_sdram_phaseinjector2_wrdata_storage;
-assign soc_sdram_inti_p2_wrdata_mask = 1'd0;
// synthesis translate_off
reg dummy_d_119;
// synthesis translate_on
always @(*) begin
- soc_sdram_inti_p3_cas_n <= 1'd1;
- if (soc_sdram_phaseinjector3_command_issue_re) begin
- soc_sdram_inti_p3_cas_n <= (~soc_sdram_phaseinjector3_command_storage[2]);
- end else begin
- soc_sdram_inti_p3_cas_n <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_119 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_120;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_inti_p3_cs_n <= 1'd1;
- if (soc_sdram_phaseinjector3_command_issue_re) begin
- soc_sdram_inti_p3_cs_n <= {1{(~soc_sdram_phaseinjector3_command_storage[0])}};
- end else begin
- soc_sdram_inti_p3_cs_n <= {1{1'd1}};
- end
-// synthesis translate_off
- dummy_d_120 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_121;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_inti_p3_ras_n <= 1'd1;
- if (soc_sdram_phaseinjector3_command_issue_re) begin
- soc_sdram_inti_p3_ras_n <= (~soc_sdram_phaseinjector3_command_storage[3]);
- end else begin
- soc_sdram_inti_p3_ras_n <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_121 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_122;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_inti_p3_we_n <= 1'd1;
- if (soc_sdram_phaseinjector3_command_issue_re) begin
- soc_sdram_inti_p3_we_n <= (~soc_sdram_phaseinjector3_command_storage[1]);
- end else begin
- soc_sdram_inti_p3_we_n <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_122 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_inti_p3_address = soc_sdram_phaseinjector3_address_storage;
-assign soc_sdram_inti_p3_bank = soc_sdram_phaseinjector3_baddress_storage;
-assign soc_sdram_inti_p3_wrdata_en = (soc_sdram_phaseinjector3_command_issue_re & soc_sdram_phaseinjector3_command_storage[4]);
-assign soc_sdram_inti_p3_rddata_en = (soc_sdram_phaseinjector3_command_issue_re & soc_sdram_phaseinjector3_command_storage[5]);
-assign soc_sdram_inti_p3_wrdata = soc_sdram_phaseinjector3_wrdata_storage;
-assign soc_sdram_inti_p3_wrdata_mask = 1'd0;
-assign soc_sdram_bankmachine0_req_valid = soc_sdram_interface_bank0_valid;
-assign soc_sdram_interface_bank0_ready = soc_sdram_bankmachine0_req_ready;
-assign soc_sdram_bankmachine0_req_we = soc_sdram_interface_bank0_we;
-assign soc_sdram_bankmachine0_req_addr = soc_sdram_interface_bank0_addr;
-assign soc_sdram_interface_bank0_lock = soc_sdram_bankmachine0_req_lock;
-assign soc_sdram_interface_bank0_wdata_ready = soc_sdram_bankmachine0_req_wdata_ready;
-assign soc_sdram_interface_bank0_rdata_valid = soc_sdram_bankmachine0_req_rdata_valid;
-assign soc_sdram_bankmachine1_req_valid = soc_sdram_interface_bank1_valid;
-assign soc_sdram_interface_bank1_ready = soc_sdram_bankmachine1_req_ready;
-assign soc_sdram_bankmachine1_req_we = soc_sdram_interface_bank1_we;
-assign soc_sdram_bankmachine1_req_addr = soc_sdram_interface_bank1_addr;
-assign soc_sdram_interface_bank1_lock = soc_sdram_bankmachine1_req_lock;
-assign soc_sdram_interface_bank1_wdata_ready = soc_sdram_bankmachine1_req_wdata_ready;
-assign soc_sdram_interface_bank1_rdata_valid = soc_sdram_bankmachine1_req_rdata_valid;
-assign soc_sdram_bankmachine2_req_valid = soc_sdram_interface_bank2_valid;
-assign soc_sdram_interface_bank2_ready = soc_sdram_bankmachine2_req_ready;
-assign soc_sdram_bankmachine2_req_we = soc_sdram_interface_bank2_we;
-assign soc_sdram_bankmachine2_req_addr = soc_sdram_interface_bank2_addr;
-assign soc_sdram_interface_bank2_lock = soc_sdram_bankmachine2_req_lock;
-assign soc_sdram_interface_bank2_wdata_ready = soc_sdram_bankmachine2_req_wdata_ready;
-assign soc_sdram_interface_bank2_rdata_valid = soc_sdram_bankmachine2_req_rdata_valid;
-assign soc_sdram_bankmachine3_req_valid = soc_sdram_interface_bank3_valid;
-assign soc_sdram_interface_bank3_ready = soc_sdram_bankmachine3_req_ready;
-assign soc_sdram_bankmachine3_req_we = soc_sdram_interface_bank3_we;
-assign soc_sdram_bankmachine3_req_addr = soc_sdram_interface_bank3_addr;
-assign soc_sdram_interface_bank3_lock = soc_sdram_bankmachine3_req_lock;
-assign soc_sdram_interface_bank3_wdata_ready = soc_sdram_bankmachine3_req_wdata_ready;
-assign soc_sdram_interface_bank3_rdata_valid = soc_sdram_bankmachine3_req_rdata_valid;
-assign soc_sdram_bankmachine4_req_valid = soc_sdram_interface_bank4_valid;
-assign soc_sdram_interface_bank4_ready = soc_sdram_bankmachine4_req_ready;
-assign soc_sdram_bankmachine4_req_we = soc_sdram_interface_bank4_we;
-assign soc_sdram_bankmachine4_req_addr = soc_sdram_interface_bank4_addr;
-assign soc_sdram_interface_bank4_lock = soc_sdram_bankmachine4_req_lock;
-assign soc_sdram_interface_bank4_wdata_ready = soc_sdram_bankmachine4_req_wdata_ready;
-assign soc_sdram_interface_bank4_rdata_valid = soc_sdram_bankmachine4_req_rdata_valid;
-assign soc_sdram_bankmachine5_req_valid = soc_sdram_interface_bank5_valid;
-assign soc_sdram_interface_bank5_ready = soc_sdram_bankmachine5_req_ready;
-assign soc_sdram_bankmachine5_req_we = soc_sdram_interface_bank5_we;
-assign soc_sdram_bankmachine5_req_addr = soc_sdram_interface_bank5_addr;
-assign soc_sdram_interface_bank5_lock = soc_sdram_bankmachine5_req_lock;
-assign soc_sdram_interface_bank5_wdata_ready = soc_sdram_bankmachine5_req_wdata_ready;
-assign soc_sdram_interface_bank5_rdata_valid = soc_sdram_bankmachine5_req_rdata_valid;
-assign soc_sdram_bankmachine6_req_valid = soc_sdram_interface_bank6_valid;
-assign soc_sdram_interface_bank6_ready = soc_sdram_bankmachine6_req_ready;
-assign soc_sdram_bankmachine6_req_we = soc_sdram_interface_bank6_we;
-assign soc_sdram_bankmachine6_req_addr = soc_sdram_interface_bank6_addr;
-assign soc_sdram_interface_bank6_lock = soc_sdram_bankmachine6_req_lock;
-assign soc_sdram_interface_bank6_wdata_ready = soc_sdram_bankmachine6_req_wdata_ready;
-assign soc_sdram_interface_bank6_rdata_valid = soc_sdram_bankmachine6_req_rdata_valid;
-assign soc_sdram_bankmachine7_req_valid = soc_sdram_interface_bank7_valid;
-assign soc_sdram_interface_bank7_ready = soc_sdram_bankmachine7_req_ready;
-assign soc_sdram_bankmachine7_req_we = soc_sdram_interface_bank7_we;
-assign soc_sdram_bankmachine7_req_addr = soc_sdram_interface_bank7_addr;
-assign soc_sdram_interface_bank7_lock = soc_sdram_bankmachine7_req_lock;
-assign soc_sdram_interface_bank7_wdata_ready = soc_sdram_bankmachine7_req_wdata_ready;
-assign soc_sdram_interface_bank7_rdata_valid = soc_sdram_bankmachine7_req_rdata_valid;
-assign soc_sdram_timer_wait = (~soc_sdram_timer_done0);
-assign soc_sdram_postponer_req_i = soc_sdram_timer_done0;
-assign soc_sdram_wants_refresh = soc_sdram_postponer_req_o;
-assign soc_sdram_wants_zqcs = soc_sdram_zqcs_timer_done0;
-assign soc_sdram_zqcs_timer_wait = (~soc_sdram_zqcs_executer_done);
-assign soc_sdram_timer_done1 = (soc_sdram_timer_count1 == 1'd0);
-assign soc_sdram_timer_done0 = soc_sdram_timer_done1;
-assign soc_sdram_timer_count0 = soc_sdram_timer_count1;
-assign soc_sdram_sequencer_start1 = (soc_sdram_sequencer_start0 | (soc_sdram_sequencer_count != 1'd0));
-assign soc_sdram_sequencer_done0 = (soc_sdram_sequencer_done1 & (soc_sdram_sequencer_count == 1'd0));
-assign soc_sdram_zqcs_timer_done1 = (soc_sdram_zqcs_timer_count1 == 1'd0);
-assign soc_sdram_zqcs_timer_done0 = soc_sdram_zqcs_timer_done1;
-assign soc_sdram_zqcs_timer_count0 = soc_sdram_zqcs_timer_count1;
-
-// synthesis translate_off
-reg dummy_d_123;
-// synthesis translate_on
-always @(*) begin
- vns_refresher_next_state <= 2'd0;
- vns_refresher_next_state <= vns_refresher_state;
- case (vns_refresher_state)
+ litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
- if (soc_sdram_cmd_ready) begin
- vns_refresher_next_state <= 2'd2;
- end
end
2'd2: begin
- if (soc_sdram_sequencer_done0) begin
- if (soc_sdram_wants_zqcs) begin
- vns_refresher_next_state <= 2'd3;
- end else begin
- vns_refresher_next_state <= 1'd0;
- end
- end
end
2'd3: begin
- if (soc_sdram_zqcs_executer_done) begin
- vns_refresher_next_state <= 1'd0;
- end
+ end
+ 3'd4: begin
+ end
+ 3'd5: begin
+ end
+ 3'd6: begin
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
end
default: begin
- if (1'd1) begin
- if (soc_sdram_wants_refresh) begin
- vns_refresher_next_state <= 1'd1;
+ if (litedramcore_bankmachine0_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
end
end
end
endcase
// synthesis translate_off
- dummy_d_123 = dummy_s;
+ dummy_d_119 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_124;
+reg dummy_d_120;
// synthesis translate_on
always @(*) begin
- soc_sdram_sequencer_start0 <= 1'd0;
- case (vns_refresher_state)
+ litedramcore_bankmachine0_req_wdata_ready <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
- if (soc_sdram_cmd_ready) begin
- soc_sdram_sequencer_start0 <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
end
- default: begin
+ 3'd4: begin
end
- endcase
-// synthesis translate_off
- dummy_d_124 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_125;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_cmd_valid <= 1'd0;
- case (vns_refresher_state)
- 1'd1: begin
- soc_sdram_cmd_valid <= 1'd1;
+ 3'd5: begin
end
- 2'd2: begin
- soc_sdram_cmd_valid <= 1'd1;
- if (soc_sdram_sequencer_done0) begin
- if (soc_sdram_wants_zqcs) begin
- end else begin
- soc_sdram_cmd_valid <= 1'd0;
- end
- end
+ 3'd6: begin
end
- 2'd3: begin
- soc_sdram_cmd_valid <= 1'd1;
- if (soc_sdram_zqcs_executer_done) begin
- soc_sdram_cmd_valid <= 1'd0;
- end
+ 3'd7: begin
+ end
+ 4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine0_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine0_req_wdata_ready <= litedramcore_bankmachine0_cmd_ready;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_125 = dummy_s;
+ dummy_d_120 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_126;
+reg dummy_d_121;
// synthesis translate_on
always @(*) begin
- soc_sdram_zqcs_executer_start <= 1'd0;
- case (vns_refresher_state)
+ litedramcore_bankmachine0_req_rdata_valid <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
- if (soc_sdram_sequencer_done0) begin
- if (soc_sdram_wants_zqcs) begin
- soc_sdram_zqcs_executer_start <= 1'd1;
- end else begin
- end
- end
end
2'd3: begin
end
- default: begin
+ 3'd4: begin
end
- endcase
-// synthesis translate_off
- dummy_d_126 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_127;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_cmd_last <= 1'd0;
- case (vns_refresher_state)
- 1'd1: begin
+ 3'd5: begin
end
- 2'd2: begin
- if (soc_sdram_sequencer_done0) begin
- if (soc_sdram_wants_zqcs) begin
- end else begin
- soc_sdram_cmd_last <= 1'd1;
- end
- end
+ 3'd6: begin
end
- 2'd3: begin
- if (soc_sdram_zqcs_executer_done) begin
- soc_sdram_cmd_last <= 1'd1;
- end
+ 3'd7: begin
+ end
+ 4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine0_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine0_req_rdata_valid <= litedramcore_bankmachine0_cmd_ready;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_127 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine0_req_valid;
-assign soc_sdram_bankmachine0_req_ready = soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine0_req_we;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine0_req_addr;
-assign soc_sdram_bankmachine0_cmd_buffer_sink_valid = soc_sdram_bankmachine0_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine0_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine0_cmd_buffer_sink_first = soc_sdram_bankmachine0_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine0_cmd_buffer_sink_last = soc_sdram_bankmachine0_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine0_cmd_buffer_sink_payload_we = soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine0_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine0_cmd_buffer_source_ready = (soc_sdram_bankmachine0_req_wdata_ready | soc_sdram_bankmachine0_req_rdata_valid);
-assign soc_sdram_bankmachine0_req_lock = (soc_sdram_bankmachine0_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine0_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine0_row_hit = (soc_sdram_bankmachine0_row == soc_sdram_bankmachine0_cmd_buffer_source_payload_addr[21:7]);
-assign soc_sdram_bankmachine0_cmd_payload_ba = 1'd0;
-
-// synthesis translate_off
-reg dummy_d_128;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_a <= 15'd0;
- if (soc_sdram_bankmachine0_row_col_n_addr_sel) begin
- soc_sdram_bankmachine0_cmd_payload_a <= soc_sdram_bankmachine0_cmd_buffer_source_payload_addr[21:7];
- end else begin
- soc_sdram_bankmachine0_cmd_payload_a <= ((soc_sdram_bankmachine0_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine0_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
- end
-// synthesis translate_off
- dummy_d_128 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine0_twtpcon_valid = ((soc_sdram_bankmachine0_cmd_valid & soc_sdram_bankmachine0_cmd_ready) & soc_sdram_bankmachine0_cmd_payload_is_write);
-assign soc_sdram_bankmachine0_trccon_valid = ((soc_sdram_bankmachine0_cmd_valid & soc_sdram_bankmachine0_cmd_ready) & soc_sdram_bankmachine0_row_open);
-assign soc_sdram_bankmachine0_trascon_valid = ((soc_sdram_bankmachine0_cmd_valid & soc_sdram_bankmachine0_cmd_ready) & soc_sdram_bankmachine0_row_open);
-
-// synthesis translate_off
-reg dummy_d_129;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine0_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine0_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine0_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr[21:7] != soc_sdram_bankmachine0_cmd_buffer_source_payload_addr[21:7])) begin
- soc_sdram_bankmachine0_auto_precharge <= (soc_sdram_bankmachine0_row_close == 1'd0);
- end
- end
-// synthesis translate_off
- dummy_d_129 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din = {soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
-assign {soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
-assign {soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
-assign {soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we = soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine0_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine0_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re = soc_sdram_bankmachine0_cmd_buffer_lookahead_source_ready;
-
-// synthesis translate_off
-reg dummy_d_130;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine0_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine0_cmd_buffer_lookahead_produce - 1'd1);
- end else begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine0_cmd_buffer_lookahead_produce;
- end
-// synthesis translate_off
- dummy_d_130 = dummy_s;
+ dummy_d_121 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_din;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & (soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable | soc_sdram_bankmachine0_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable & soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_re);
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine0_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_dout = soc_sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable = (soc_sdram_bankmachine0_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_readable = (soc_sdram_bankmachine0_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine0_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine0_cmd_buffer_source_valid) | soc_sdram_bankmachine0_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_131;
+reg dummy_d_122;
// synthesis translate_on
always @(*) begin
- vns_bankmachine0_next_state <= 4'd0;
- vns_bankmachine0_next_state <= vns_bankmachine0_state;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_refresh_gnt <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
- if ((soc_sdram_bankmachine0_twtpcon_ready & soc_sdram_bankmachine0_trascon_ready)) begin
- if (soc_sdram_bankmachine0_cmd_ready) begin
- vns_bankmachine0_next_state <= 3'd5;
- end
- end
end
2'd2: begin
- if ((soc_sdram_bankmachine0_twtpcon_ready & soc_sdram_bankmachine0_trascon_ready)) begin
- vns_bankmachine0_next_state <= 3'd5;
- end
end
2'd3: begin
- if (soc_sdram_bankmachine0_trccon_ready) begin
- if (soc_sdram_bankmachine0_cmd_ready) begin
- vns_bankmachine0_next_state <= 3'd7;
- end
- end
end
3'd4: begin
- if ((~soc_sdram_bankmachine0_refresh_req)) begin
- vns_bankmachine0_next_state <= 1'd0;
+ if (litedramcore_bankmachine0_twtpcon_ready) begin
+ litedramcore_bankmachine0_refresh_gnt <= 1'd1;
end
end
3'd5: begin
- vns_bankmachine0_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine0_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine0_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine0_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
- vns_bankmachine0_next_state <= 3'd4;
- end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- if ((soc_sdram_bankmachine0_cmd_ready & soc_sdram_bankmachine0_auto_precharge)) begin
- vns_bankmachine0_next_state <= 2'd2;
- end
- end else begin
- vns_bankmachine0_next_state <= 1'd1;
- end
- end else begin
- vns_bankmachine0_next_state <= 2'd3;
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_131 = dummy_s;
+ dummy_d_122 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_132;
+reg dummy_d_123;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_we <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_cmd_valid <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
- if ((soc_sdram_bankmachine0_twtpcon_ready & soc_sdram_bankmachine0_trascon_ready)) begin
- soc_sdram_bankmachine0_cmd_payload_we <= 1'd1;
+ if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+ litedramcore_bankmachine0_cmd_valid <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine0_trccon_ready) begin
+ litedramcore_bankmachine0_cmd_valid <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
+ if (litedramcore_bankmachine0_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine0_cmd_payload_we <= 1'd1;
- end else begin
- end
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ litedramcore_bankmachine0_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_132 = dummy_s;
+ dummy_d_123 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_133;
+reg dummy_d_124;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_row_open <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine0_trccon_ready) begin
- soc_sdram_bankmachine0_row_col_n_addr_sel <= 1'd1;
+ if (litedramcore_bankmachine0_trccon_ready) begin
+ litedramcore_bankmachine0_row_open <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_133 = dummy_s;
+ dummy_d_124 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_134;
+reg dummy_d_125;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_row_close <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
- if ((soc_sdram_bankmachine0_twtpcon_ready & soc_sdram_bankmachine0_trascon_ready)) begin
- soc_sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
- end
+ litedramcore_bankmachine0_row_close <= 1'd1;
end
2'd2: begin
+ litedramcore_bankmachine0_row_close <= 1'd1;
end
2'd3: begin
- if (soc_sdram_bankmachine0_trccon_ready) begin
- soc_sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
- end
end
3'd4: begin
- soc_sdram_bankmachine0_cmd_payload_is_cmd <= 1'd1;
+ litedramcore_bankmachine0_row_close <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_134 = dummy_s;
+ dummy_d_125 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_135;
+reg dummy_d_126;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_cmd_payload_cas <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
+ if (litedramcore_bankmachine0_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine0_cmd_payload_is_read <= 1'd1;
- end
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ litedramcore_bankmachine0_cmd_payload_cas <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_135 = dummy_s;
+ dummy_d_126 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_136;
+reg dummy_d_127;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine0_trccon_ready) begin
+ litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine0_cmd_payload_is_write <= 1'd1;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_136 = dummy_s;
+ dummy_d_127 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_137;
+reg dummy_d_128;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_req_wdata_ready <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_cmd_payload_ras <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
+ if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+ litedramcore_bankmachine0_cmd_payload_ras <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine0_trccon_ready) begin
+ litedramcore_bankmachine0_cmd_payload_ras <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine0_req_wdata_ready <= soc_sdram_bankmachine0_cmd_ready;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_137 = dummy_s;
+ dummy_d_128 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_138;
+reg dummy_d_129;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_req_rdata_valid <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_cmd_payload_we <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
+ if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+ litedramcore_bankmachine0_cmd_payload_we <= 1'd1;
+ end
end
2'd2: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
+ if (litedramcore_bankmachine0_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine0_cmd_payload_we <= 1'd1;
end else begin
- soc_sdram_bankmachine0_req_rdata_valid <= soc_sdram_bankmachine0_cmd_ready;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_138 = dummy_s;
+ dummy_d_129 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_139;
+reg dummy_d_130;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_refresh_gnt <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
+ if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+ litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine0_trccon_ready) begin
+ litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1;
+ end
end
3'd4: begin
- if (soc_sdram_bankmachine0_twtpcon_ready) begin
- soc_sdram_bankmachine0_refresh_gnt <= 1'd1;
- end
+ litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_139 = dummy_s;
+ dummy_d_130 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_140;
+reg dummy_d_131;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_valid <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0;
+ case (bankmachine0_state)
1'd1: begin
- if ((soc_sdram_bankmachine0_twtpcon_ready & soc_sdram_bankmachine0_trascon_ready)) begin
- soc_sdram_bankmachine0_cmd_valid <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine0_trccon_ready) begin
- soc_sdram_bankmachine0_cmd_valid <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
+ if (litedramcore_bankmachine0_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- soc_sdram_bankmachine0_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine0_row_opened) begin
+ if (litedramcore_bankmachine0_row_hit) begin
+ if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1;
+ end
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_140 = dummy_s;
+ dummy_d_131 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine1_req_valid;
+assign litedramcore_bankmachine1_req_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine1_req_we;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine1_req_addr;
+assign litedramcore_bankmachine1_cmd_buffer_sink_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine1_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine1_cmd_buffer_sink_first = litedramcore_bankmachine1_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine1_cmd_buffer_sink_last = litedramcore_bankmachine1_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine1_cmd_buffer_sink_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine1_cmd_buffer_sink_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine1_cmd_buffer_source_ready = (litedramcore_bankmachine1_req_wdata_ready | litedramcore_bankmachine1_req_rdata_valid);
+assign litedramcore_bankmachine1_req_lock = (litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine1_cmd_buffer_source_valid);
+assign litedramcore_bankmachine1_row_hit = (litedramcore_bankmachine1_row == litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7]);
+assign litedramcore_bankmachine1_cmd_payload_ba = 1'd1;
// synthesis translate_off
-reg dummy_d_141;
+reg dummy_d_132;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_row_open <= 1'd0;
- case (vns_bankmachine0_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- if (soc_sdram_bankmachine0_trccon_ready) begin
- soc_sdram_bankmachine0_row_open <= 1'd1;
- end
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- default: begin
- end
- endcase
+ litedramcore_bankmachine1_cmd_payload_a <= 15'd0;
+ if (litedramcore_bankmachine1_row_col_n_addr_sel) begin
+ litedramcore_bankmachine1_cmd_payload_a <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7];
+ end else begin
+ litedramcore_bankmachine1_cmd_payload_a <= ((litedramcore_bankmachine1_auto_precharge <<< 4'd10) | {litedramcore_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ end
// synthesis translate_off
- dummy_d_141 = dummy_s;
+ dummy_d_132 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine1_twtpcon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_cmd_payload_is_write);
+assign litedramcore_bankmachine1_trccon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open);
+assign litedramcore_bankmachine1_trascon_valid = ((litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_ready) & litedramcore_bankmachine1_row_open);
// synthesis translate_off
-reg dummy_d_142;
+reg dummy_d_133;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_row_close <= 1'd0;
- case (vns_bankmachine0_state)
- 1'd1: begin
- soc_sdram_bankmachine0_row_close <= 1'd1;
- end
- 2'd2: begin
- soc_sdram_bankmachine0_row_close <= 1'd1;
- end
- 2'd3: begin
- end
- 3'd4: begin
- soc_sdram_bankmachine0_row_close <= 1'd1;
+ litedramcore_bankmachine1_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine1_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7])) begin
+ litedramcore_bankmachine1_auto_precharge <= (litedramcore_bankmachine1_row_close == 1'd0);
end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- default: begin
- end
- endcase
+ end
// synthesis translate_off
- dummy_d_142 = dummy_s;
+ dummy_d_133 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
+assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
+assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
+assign {litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_first = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_last = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = litedramcore_bankmachine1_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
-reg dummy_d_143;
+reg dummy_d_134;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine1_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce - 1'd1);
+ end else begin
+ litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine1_cmd_buffer_lookahead_produce;
+ end
+// synthesis translate_off
+ dummy_d_134 = dummy_s;
+// synthesis translate_on
+end
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | litedramcore_bankmachine1_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_re);
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine1_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (litedramcore_bankmachine1_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine1_cmd_buffer_sink_ready = ((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready);
+
+// synthesis translate_off
+reg dummy_d_135;
+// synthesis translate_on
+always @(*) begin
+ bankmachine1_next_state <= 4'd0;
+ bankmachine1_next_state <= bankmachine1_state;
+ case (bankmachine1_state)
1'd1: begin
+ if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+ if (litedramcore_bankmachine1_cmd_ready) begin
+ bankmachine1_next_state <= 3'd5;
+ end
+ end
end
2'd2: begin
+ if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+ bankmachine1_next_state <= 3'd5;
+ end
end
2'd3: begin
+ if (litedramcore_bankmachine1_trccon_ready) begin
+ if (litedramcore_bankmachine1_cmd_ready) begin
+ bankmachine1_next_state <= 3'd7;
+ end
+ end
end
3'd4: begin
+ if ((~litedramcore_bankmachine1_refresh_req)) begin
+ bankmachine1_next_state <= 1'd0;
+ end
end
3'd5: begin
+ bankmachine1_next_state <= 3'd6;
end
3'd6: begin
+ bankmachine1_next_state <= 2'd3;
end
3'd7: begin
+ bankmachine1_next_state <= 4'd8;
end
4'd8: begin
+ bankmachine1_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine0_refresh_req) begin
+ if (litedramcore_bankmachine1_refresh_req) begin
+ bankmachine1_next_state <= 3'd4;
end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine0_row_opened) begin
- if (soc_sdram_bankmachine0_row_hit) begin
- soc_sdram_bankmachine0_cmd_payload_cas <= 1'd1;
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ if ((litedramcore_bankmachine1_cmd_ready & litedramcore_bankmachine1_auto_precharge)) begin
+ bankmachine1_next_state <= 2'd2;
+ end
end else begin
+ bankmachine1_next_state <= 1'd1;
end
end else begin
+ bankmachine1_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_143 = dummy_s;
+ dummy_d_135 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_144;
+reg dummy_d_136;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine0_state)
+ litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
- if ((soc_sdram_bankmachine0_twtpcon_ready & soc_sdram_bankmachine0_trascon_ready)) begin
- soc_sdram_bankmachine0_cmd_payload_ras <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine0_trccon_ready) begin
- soc_sdram_bankmachine0_cmd_payload_ras <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine1_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_144 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine1_req_valid;
-assign soc_sdram_bankmachine1_req_ready = soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine1_req_we;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine1_req_addr;
-assign soc_sdram_bankmachine1_cmd_buffer_sink_valid = soc_sdram_bankmachine1_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine1_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine1_cmd_buffer_sink_first = soc_sdram_bankmachine1_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine1_cmd_buffer_sink_last = soc_sdram_bankmachine1_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine1_cmd_buffer_sink_payload_we = soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine1_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine1_cmd_buffer_source_ready = (soc_sdram_bankmachine1_req_wdata_ready | soc_sdram_bankmachine1_req_rdata_valid);
-assign soc_sdram_bankmachine1_req_lock = (soc_sdram_bankmachine1_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine1_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine1_row_hit = (soc_sdram_bankmachine1_row == soc_sdram_bankmachine1_cmd_buffer_source_payload_addr[21:7]);
-assign soc_sdram_bankmachine1_cmd_payload_ba = 1'd1;
-
-// synthesis translate_off
-reg dummy_d_145;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_a <= 15'd0;
- if (soc_sdram_bankmachine1_row_col_n_addr_sel) begin
- soc_sdram_bankmachine1_cmd_payload_a <= soc_sdram_bankmachine1_cmd_buffer_source_payload_addr[21:7];
- end else begin
- soc_sdram_bankmachine1_cmd_payload_a <= ((soc_sdram_bankmachine1_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine1_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
- end
-// synthesis translate_off
- dummy_d_145 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine1_twtpcon_valid = ((soc_sdram_bankmachine1_cmd_valid & soc_sdram_bankmachine1_cmd_ready) & soc_sdram_bankmachine1_cmd_payload_is_write);
-assign soc_sdram_bankmachine1_trccon_valid = ((soc_sdram_bankmachine1_cmd_valid & soc_sdram_bankmachine1_cmd_ready) & soc_sdram_bankmachine1_row_open);
-assign soc_sdram_bankmachine1_trascon_valid = ((soc_sdram_bankmachine1_cmd_valid & soc_sdram_bankmachine1_cmd_ready) & soc_sdram_bankmachine1_row_open);
-
-// synthesis translate_off
-reg dummy_d_146;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine1_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine1_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine1_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr[21:7] != soc_sdram_bankmachine1_cmd_buffer_source_payload_addr[21:7])) begin
- soc_sdram_bankmachine1_auto_precharge <= (soc_sdram_bankmachine1_row_close == 1'd0);
- end
- end
-// synthesis translate_off
- dummy_d_146 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din = {soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
-assign {soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
-assign {soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
-assign {soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we = soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine1_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine1_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re = soc_sdram_bankmachine1_cmd_buffer_lookahead_source_ready;
-
-// synthesis translate_off
-reg dummy_d_147;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine1_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine1_cmd_buffer_lookahead_produce - 1'd1);
- end else begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine1_cmd_buffer_lookahead_produce;
- end
-// synthesis translate_off
- dummy_d_147 = dummy_s;
+ dummy_d_136 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_din;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & (soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable | soc_sdram_bankmachine1_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable & soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_re);
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine1_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_dout = soc_sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable = (soc_sdram_bankmachine1_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_readable = (soc_sdram_bankmachine1_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine1_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine1_cmd_buffer_source_valid) | soc_sdram_bankmachine1_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_148;
+reg dummy_d_137;
// synthesis translate_on
always @(*) begin
- vns_bankmachine1_next_state <= 4'd0;
- vns_bankmachine1_next_state <= vns_bankmachine1_state;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_req_wdata_ready <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
- if ((soc_sdram_bankmachine1_twtpcon_ready & soc_sdram_bankmachine1_trascon_ready)) begin
- if (soc_sdram_bankmachine1_cmd_ready) begin
- vns_bankmachine1_next_state <= 3'd5;
- end
- end
end
2'd2: begin
- if ((soc_sdram_bankmachine1_twtpcon_ready & soc_sdram_bankmachine1_trascon_ready)) begin
- vns_bankmachine1_next_state <= 3'd5;
- end
end
2'd3: begin
- if (soc_sdram_bankmachine1_trccon_ready) begin
- if (soc_sdram_bankmachine1_cmd_ready) begin
- vns_bankmachine1_next_state <= 3'd7;
- end
- end
end
3'd4: begin
- if ((~soc_sdram_bankmachine1_refresh_req)) begin
- vns_bankmachine1_next_state <= 1'd0;
- end
end
3'd5: begin
- vns_bankmachine1_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine1_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine1_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine1_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
- vns_bankmachine1_next_state <= 3'd4;
+ if (litedramcore_bankmachine1_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- if ((soc_sdram_bankmachine1_cmd_ready & soc_sdram_bankmachine1_auto_precharge)) begin
- vns_bankmachine1_next_state <= 2'd2;
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine1_req_wdata_ready <= litedramcore_bankmachine1_cmd_ready;
+ end else begin
end
end else begin
- vns_bankmachine1_next_state <= 1'd1;
end
end else begin
- vns_bankmachine1_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_148 = dummy_s;
+ dummy_d_137 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_149;
+reg dummy_d_138;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_we <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
- if ((soc_sdram_bankmachine1_twtpcon_ready & soc_sdram_bankmachine1_trascon_ready)) begin
- soc_sdram_bankmachine1_cmd_payload_we <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine1_trccon_ready) begin
+ litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine1_cmd_payload_we <= 1'd1;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_149 = dummy_s;
+ dummy_d_138 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_150;
+reg dummy_d_139;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_req_rdata_valid <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine1_trccon_ready) begin
- soc_sdram_bankmachine1_row_col_n_addr_sel <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine1_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine1_req_rdata_valid <= litedramcore_bankmachine1_cmd_ready;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_150 = dummy_s;
+ dummy_d_139 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_151;
+reg dummy_d_140;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_refresh_gnt <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
- if ((soc_sdram_bankmachine1_twtpcon_ready & soc_sdram_bankmachine1_trascon_ready)) begin
- soc_sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine1_trccon_ready) begin
- soc_sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
- end
end
3'd4: begin
- soc_sdram_bankmachine1_cmd_payload_is_cmd <= 1'd1;
+ if (litedramcore_bankmachine1_twtpcon_ready) begin
+ litedramcore_bankmachine1_refresh_gnt <= 1'd1;
+ end
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_151 = dummy_s;
+ dummy_d_140 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_152;
+reg dummy_d_141;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_cmd_valid <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
+ if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+ litedramcore_bankmachine1_cmd_valid <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine1_trccon_ready) begin
+ litedramcore_bankmachine1_cmd_valid <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
+ if (litedramcore_bankmachine1_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine1_cmd_payload_is_read <= 1'd1;
- end
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ litedramcore_bankmachine1_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_152 = dummy_s;
+ dummy_d_141 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_153;
+reg dummy_d_142;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_row_open <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine1_trccon_ready) begin
+ litedramcore_bankmachine1_row_open <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine1_cmd_payload_is_write <= 1'd1;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_153 = dummy_s;
+ dummy_d_142 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_154;
+reg dummy_d_143;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_req_wdata_ready <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_row_close <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
+ litedramcore_bankmachine1_row_close <= 1'd1;
end
2'd2: begin
+ litedramcore_bankmachine1_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
+ litedramcore_bankmachine1_row_close <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine1_req_wdata_ready <= soc_sdram_bankmachine1_cmd_ready;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_154 = dummy_s;
+ dummy_d_143 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_155;
+reg dummy_d_144;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_req_rdata_valid <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_cmd_payload_cas <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
+ if (litedramcore_bankmachine1_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine1_req_rdata_valid <= soc_sdram_bankmachine1_cmd_ready;
- end
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ litedramcore_bankmachine1_cmd_payload_cas <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_155 = dummy_s;
+ dummy_d_144 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_156;
+reg dummy_d_145;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_refresh_gnt <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_cmd_payload_ras <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
+ if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+ litedramcore_bankmachine1_cmd_payload_ras <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine1_trccon_ready) begin
+ litedramcore_bankmachine1_cmd_payload_ras <= 1'd1;
+ end
end
3'd4: begin
- if (soc_sdram_bankmachine1_twtpcon_ready) begin
- soc_sdram_bankmachine1_refresh_gnt <= 1'd1;
- end
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_156 = dummy_s;
+ dummy_d_145 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_157;
+reg dummy_d_146;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_valid <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_cmd_payload_we <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
- if ((soc_sdram_bankmachine1_twtpcon_ready & soc_sdram_bankmachine1_trascon_ready)) begin
- soc_sdram_bankmachine1_cmd_valid <= 1'd1;
+ if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+ litedramcore_bankmachine1_cmd_payload_we <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine1_trccon_ready) begin
- soc_sdram_bankmachine1_cmd_valid <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
+ if (litedramcore_bankmachine1_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- soc_sdram_bankmachine1_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine1_cmd_payload_we <= 1'd1;
+ end else begin
+ end
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_157 = dummy_s;
+ dummy_d_146 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_158;
+reg dummy_d_147;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_row_open <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
+ if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+ litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine1_trccon_ready) begin
- soc_sdram_bankmachine1_row_open <= 1'd1;
+ if (litedramcore_bankmachine1_trccon_ready) begin
+ litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1;
end
end
3'd4: begin
+ litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_158 = dummy_s;
+ dummy_d_147 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_159;
+reg dummy_d_148;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_row_close <= 1'd0;
- case (vns_bankmachine1_state)
+ litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0;
+ case (bankmachine1_state)
1'd1: begin
- soc_sdram_bankmachine1_row_close <= 1'd1;
end
2'd2: begin
- soc_sdram_bankmachine1_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- soc_sdram_bankmachine1_row_close <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine1_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine1_row_opened) begin
+ if (litedramcore_bankmachine1_row_hit) begin
+ if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_159 = dummy_s;
+ dummy_d_148 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine2_req_valid;
+assign litedramcore_bankmachine2_req_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine2_req_we;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine2_req_addr;
+assign litedramcore_bankmachine2_cmd_buffer_sink_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine2_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine2_cmd_buffer_sink_first = litedramcore_bankmachine2_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine2_cmd_buffer_sink_last = litedramcore_bankmachine2_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine2_cmd_buffer_sink_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine2_cmd_buffer_sink_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine2_cmd_buffer_source_ready = (litedramcore_bankmachine2_req_wdata_ready | litedramcore_bankmachine2_req_rdata_valid);
+assign litedramcore_bankmachine2_req_lock = (litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine2_cmd_buffer_source_valid);
+assign litedramcore_bankmachine2_row_hit = (litedramcore_bankmachine2_row == litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7]);
+assign litedramcore_bankmachine2_cmd_payload_ba = 2'd2;
// synthesis translate_off
-reg dummy_d_160;
+reg dummy_d_149;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine1_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- default: begin
- if (soc_sdram_bankmachine1_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine1_row_opened) begin
- if (soc_sdram_bankmachine1_row_hit) begin
- soc_sdram_bankmachine1_cmd_payload_cas <= 1'd1;
- end else begin
- end
- end else begin
- end
- end
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_160 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_161;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine1_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine1_state)
- 1'd1: begin
- if ((soc_sdram_bankmachine1_twtpcon_ready & soc_sdram_bankmachine1_trascon_ready)) begin
- soc_sdram_bankmachine1_cmd_payload_ras <= 1'd1;
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- if (soc_sdram_bankmachine1_trccon_ready) begin
- soc_sdram_bankmachine1_cmd_payload_ras <= 1'd1;
- end
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_161 = dummy_s;
-// synthesis translate_on
-end
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine2_req_valid;
-assign soc_sdram_bankmachine2_req_ready = soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine2_req_we;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine2_req_addr;
-assign soc_sdram_bankmachine2_cmd_buffer_sink_valid = soc_sdram_bankmachine2_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine2_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine2_cmd_buffer_sink_first = soc_sdram_bankmachine2_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine2_cmd_buffer_sink_last = soc_sdram_bankmachine2_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine2_cmd_buffer_sink_payload_we = soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine2_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine2_cmd_buffer_source_ready = (soc_sdram_bankmachine2_req_wdata_ready | soc_sdram_bankmachine2_req_rdata_valid);
-assign soc_sdram_bankmachine2_req_lock = (soc_sdram_bankmachine2_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine2_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine2_row_hit = (soc_sdram_bankmachine2_row == soc_sdram_bankmachine2_cmd_buffer_source_payload_addr[21:7]);
-assign soc_sdram_bankmachine2_cmd_payload_ba = 2'd2;
-
-// synthesis translate_off
-reg dummy_d_162;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_a <= 15'd0;
- if (soc_sdram_bankmachine2_row_col_n_addr_sel) begin
- soc_sdram_bankmachine2_cmd_payload_a <= soc_sdram_bankmachine2_cmd_buffer_source_payload_addr[21:7];
+ litedramcore_bankmachine2_cmd_payload_a <= 15'd0;
+ if (litedramcore_bankmachine2_row_col_n_addr_sel) begin
+ litedramcore_bankmachine2_cmd_payload_a <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7];
end else begin
- soc_sdram_bankmachine2_cmd_payload_a <= ((soc_sdram_bankmachine2_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ litedramcore_bankmachine2_cmd_payload_a <= ((litedramcore_bankmachine2_auto_precharge <<< 4'd10) | {litedramcore_bankmachine2_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
- dummy_d_162 = dummy_s;
+ dummy_d_149 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine2_twtpcon_valid = ((soc_sdram_bankmachine2_cmd_valid & soc_sdram_bankmachine2_cmd_ready) & soc_sdram_bankmachine2_cmd_payload_is_write);
-assign soc_sdram_bankmachine2_trccon_valid = ((soc_sdram_bankmachine2_cmd_valid & soc_sdram_bankmachine2_cmd_ready) & soc_sdram_bankmachine2_row_open);
-assign soc_sdram_bankmachine2_trascon_valid = ((soc_sdram_bankmachine2_cmd_valid & soc_sdram_bankmachine2_cmd_ready) & soc_sdram_bankmachine2_row_open);
+assign litedramcore_bankmachine2_twtpcon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_cmd_payload_is_write);
+assign litedramcore_bankmachine2_trccon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open);
+assign litedramcore_bankmachine2_trascon_valid = ((litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_ready) & litedramcore_bankmachine2_row_open);
// synthesis translate_off
-reg dummy_d_163;
+reg dummy_d_150;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine2_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine2_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr[21:7] != soc_sdram_bankmachine2_cmd_buffer_source_payload_addr[21:7])) begin
- soc_sdram_bankmachine2_auto_precharge <= (soc_sdram_bankmachine2_row_close == 1'd0);
+ litedramcore_bankmachine2_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine2_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7])) begin
+ litedramcore_bankmachine2_auto_precharge <= (litedramcore_bankmachine2_row_close == 1'd0);
end
end
// synthesis translate_off
- dummy_d_163 = dummy_s;
+ dummy_d_150 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
-assign {soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
-assign {soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
-assign {soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = soc_sdram_bankmachine2_cmd_buffer_lookahead_source_ready;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din = {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
+assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
+assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
+assign {litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_first = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_last = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine2_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re = litedramcore_bankmachine2_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
-reg dummy_d_164;
+reg dummy_d_151;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine2_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine2_cmd_buffer_lookahead_produce - 1'd1);
+ litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine2_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce - 1'd1);
end else begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine2_cmd_buffer_lookahead_produce;
+ litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine2_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
- dummy_d_164 = dummy_s;
+ dummy_d_151 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | soc_sdram_bankmachine2_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_re);
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine2_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = soc_sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (soc_sdram_bankmachine2_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (soc_sdram_bankmachine2_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine2_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine2_cmd_buffer_source_valid) | soc_sdram_bankmachine2_cmd_buffer_source_ready);
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_din;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable | litedramcore_bankmachine2_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_re);
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine2_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_dout = litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_readable = (litedramcore_bankmachine2_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine2_cmd_buffer_sink_ready = ((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_165;
+reg dummy_d_152;
// synthesis translate_on
always @(*) begin
- vns_bankmachine2_next_state <= 4'd0;
- vns_bankmachine2_next_state <= vns_bankmachine2_state;
- case (vns_bankmachine2_state)
+ bankmachine2_next_state <= 4'd0;
+ bankmachine2_next_state <= bankmachine2_state;
+ case (bankmachine2_state)
1'd1: begin
- if ((soc_sdram_bankmachine2_twtpcon_ready & soc_sdram_bankmachine2_trascon_ready)) begin
- if (soc_sdram_bankmachine2_cmd_ready) begin
- vns_bankmachine2_next_state <= 3'd5;
+ if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+ if (litedramcore_bankmachine2_cmd_ready) begin
+ bankmachine2_next_state <= 3'd5;
end
end
end
2'd2: begin
- if ((soc_sdram_bankmachine2_twtpcon_ready & soc_sdram_bankmachine2_trascon_ready)) begin
- vns_bankmachine2_next_state <= 3'd5;
+ if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+ bankmachine2_next_state <= 3'd5;
end
end
2'd3: begin
- if (soc_sdram_bankmachine2_trccon_ready) begin
- if (soc_sdram_bankmachine2_cmd_ready) begin
- vns_bankmachine2_next_state <= 3'd7;
+ if (litedramcore_bankmachine2_trccon_ready) begin
+ if (litedramcore_bankmachine2_cmd_ready) begin
+ bankmachine2_next_state <= 3'd7;
end
end
end
3'd4: begin
- if ((~soc_sdram_bankmachine2_refresh_req)) begin
- vns_bankmachine2_next_state <= 1'd0;
+ if ((~litedramcore_bankmachine2_refresh_req)) begin
+ bankmachine2_next_state <= 1'd0;
end
end
3'd5: begin
- vns_bankmachine2_next_state <= 3'd6;
+ bankmachine2_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine2_next_state <= 2'd3;
+ bankmachine2_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine2_next_state <= 4'd8;
+ bankmachine2_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine2_next_state <= 1'd0;
+ bankmachine2_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
- vns_bankmachine2_next_state <= 3'd4;
+ if (litedramcore_bankmachine2_refresh_req) begin
+ bankmachine2_next_state <= 3'd4;
end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- if ((soc_sdram_bankmachine2_cmd_ready & soc_sdram_bankmachine2_auto_precharge)) begin
- vns_bankmachine2_next_state <= 2'd2;
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ if ((litedramcore_bankmachine2_cmd_ready & litedramcore_bankmachine2_auto_precharge)) begin
+ bankmachine2_next_state <= 2'd2;
end
end else begin
- vns_bankmachine2_next_state <= 1'd1;
+ bankmachine2_next_state <= 1'd1;
end
end else begin
- vns_bankmachine2_next_state <= 2'd3;
+ bankmachine2_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_165 = dummy_s;
+ dummy_d_152 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_166;
+reg dummy_d_153;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_we <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
- if ((soc_sdram_bankmachine2_twtpcon_ready & soc_sdram_bankmachine2_trascon_ready)) begin
- soc_sdram_bankmachine2_cmd_payload_we <= 1'd1;
- end
end
2'd2: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
+ if (litedramcore_bankmachine2_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine2_cmd_payload_we <= 1'd1;
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_166 = dummy_s;
+ dummy_d_153 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_167;
+reg dummy_d_154;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_req_wdata_ready <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine2_trccon_ready) begin
- soc_sdram_bankmachine2_row_col_n_addr_sel <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine2_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine2_req_wdata_ready <= litedramcore_bankmachine2_cmd_ready;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_167 = dummy_s;
+ dummy_d_154 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_168;
+reg dummy_d_155;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_req_rdata_valid <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
- if ((soc_sdram_bankmachine2_twtpcon_ready & soc_sdram_bankmachine2_trascon_ready)) begin
- soc_sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine2_trccon_ready) begin
- soc_sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
- end
end
3'd4: begin
- soc_sdram_bankmachine2_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine2_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine2_req_rdata_valid <= litedramcore_bankmachine2_cmd_ready;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_168 = dummy_s;
+ dummy_d_155 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_169;
+reg dummy_d_156;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_refresh_gnt <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
2'd3: begin
end
3'd4: begin
+ if (litedramcore_bankmachine2_twtpcon_ready) begin
+ litedramcore_bankmachine2_refresh_gnt <= 1'd1;
+ end
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine2_cmd_payload_is_read <= 1'd1;
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_169 = dummy_s;
+ dummy_d_156 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_170;
+reg dummy_d_157;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_cmd_valid <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
+ if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+ litedramcore_bankmachine2_cmd_valid <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine2_trccon_ready) begin
+ litedramcore_bankmachine2_cmd_valid <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
+ if (litedramcore_bankmachine2_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine2_cmd_payload_is_write <= 1'd1;
- end else begin
- end
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ litedramcore_bankmachine2_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_170 = dummy_s;
+ dummy_d_157 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_171;
+reg dummy_d_158;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_req_wdata_ready <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine2_trccon_ready) begin
+ litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine2_req_wdata_ready <= soc_sdram_bankmachine2_cmd_ready;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_171 = dummy_s;
+ dummy_d_158 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_172;
+reg dummy_d_159;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_req_rdata_valid <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_row_open <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine2_trccon_ready) begin
+ litedramcore_bankmachine2_row_open <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine2_req_rdata_valid <= soc_sdram_bankmachine2_cmd_ready;
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_172 = dummy_s;
+ dummy_d_159 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_173;
+reg dummy_d_160;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_refresh_gnt <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_row_close <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
+ litedramcore_bankmachine2_row_close <= 1'd1;
end
2'd2: begin
+ litedramcore_bankmachine2_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- if (soc_sdram_bankmachine2_twtpcon_ready) begin
- soc_sdram_bankmachine2_refresh_gnt <= 1'd1;
- end
+ litedramcore_bankmachine2_row_close <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_173 = dummy_s;
+ dummy_d_160 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_174;
+reg dummy_d_161;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_valid <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_cmd_payload_cas <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
- if ((soc_sdram_bankmachine2_twtpcon_ready & soc_sdram_bankmachine2_trascon_ready)) begin
- soc_sdram_bankmachine2_cmd_valid <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine2_trccon_ready) begin
- soc_sdram_bankmachine2_cmd_valid <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
+ if (litedramcore_bankmachine2_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- soc_sdram_bankmachine2_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ litedramcore_bankmachine2_cmd_payload_cas <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_174 = dummy_s;
+ dummy_d_161 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_175;
+reg dummy_d_162;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_row_open <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_cmd_payload_ras <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
+ if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+ litedramcore_bankmachine2_cmd_payload_ras <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine2_trccon_ready) begin
- soc_sdram_bankmachine2_row_open <= 1'd1;
+ if (litedramcore_bankmachine2_trccon_ready) begin
+ litedramcore_bankmachine2_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_175 = dummy_s;
+ dummy_d_162 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_176;
+reg dummy_d_163;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_row_close <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_cmd_payload_we <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
- soc_sdram_bankmachine2_row_close <= 1'd1;
+ if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+ litedramcore_bankmachine2_cmd_payload_we <= 1'd1;
+ end
end
2'd2: begin
- soc_sdram_bankmachine2_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- soc_sdram_bankmachine2_row_close <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine2_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine2_cmd_payload_we <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_176 = dummy_s;
+ dummy_d_163 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_177;
+reg dummy_d_164;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
+ if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+ litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine2_trccon_ready) begin
+ litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1;
+ end
end
3'd4: begin
+ litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine2_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine2_row_opened) begin
- if (soc_sdram_bankmachine2_row_hit) begin
- soc_sdram_bankmachine2_cmd_payload_cas <= 1'd1;
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_177 = dummy_s;
+ dummy_d_164 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_178;
+reg dummy_d_165;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine2_state)
+ litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0;
+ case (bankmachine2_state)
1'd1: begin
- if ((soc_sdram_bankmachine2_twtpcon_ready & soc_sdram_bankmachine2_trascon_ready)) begin
- soc_sdram_bankmachine2_cmd_payload_ras <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine2_trccon_ready) begin
- soc_sdram_bankmachine2_cmd_payload_ras <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine2_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine2_row_opened) begin
+ if (litedramcore_bankmachine2_row_hit) begin
+ if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_178 = dummy_s;
+ dummy_d_165 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine3_req_valid;
-assign soc_sdram_bankmachine3_req_ready = soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine3_req_we;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine3_req_addr;
-assign soc_sdram_bankmachine3_cmd_buffer_sink_valid = soc_sdram_bankmachine3_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine3_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine3_cmd_buffer_sink_first = soc_sdram_bankmachine3_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine3_cmd_buffer_sink_last = soc_sdram_bankmachine3_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine3_cmd_buffer_sink_payload_we = soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine3_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine3_cmd_buffer_source_ready = (soc_sdram_bankmachine3_req_wdata_ready | soc_sdram_bankmachine3_req_rdata_valid);
-assign soc_sdram_bankmachine3_req_lock = (soc_sdram_bankmachine3_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine3_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine3_row_hit = (soc_sdram_bankmachine3_row == soc_sdram_bankmachine3_cmd_buffer_source_payload_addr[21:7]);
-assign soc_sdram_bankmachine3_cmd_payload_ba = 2'd3;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine3_req_valid;
+assign litedramcore_bankmachine3_req_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine3_req_we;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine3_req_addr;
+assign litedramcore_bankmachine3_cmd_buffer_sink_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine3_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine3_cmd_buffer_sink_first = litedramcore_bankmachine3_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine3_cmd_buffer_sink_last = litedramcore_bankmachine3_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine3_cmd_buffer_sink_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine3_cmd_buffer_sink_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine3_cmd_buffer_source_ready = (litedramcore_bankmachine3_req_wdata_ready | litedramcore_bankmachine3_req_rdata_valid);
+assign litedramcore_bankmachine3_req_lock = (litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine3_cmd_buffer_source_valid);
+assign litedramcore_bankmachine3_row_hit = (litedramcore_bankmachine3_row == litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7]);
+assign litedramcore_bankmachine3_cmd_payload_ba = 2'd3;
// synthesis translate_off
-reg dummy_d_179;
+reg dummy_d_166;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_a <= 15'd0;
- if (soc_sdram_bankmachine3_row_col_n_addr_sel) begin
- soc_sdram_bankmachine3_cmd_payload_a <= soc_sdram_bankmachine3_cmd_buffer_source_payload_addr[21:7];
+ litedramcore_bankmachine3_cmd_payload_a <= 15'd0;
+ if (litedramcore_bankmachine3_row_col_n_addr_sel) begin
+ litedramcore_bankmachine3_cmd_payload_a <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7];
end else begin
- soc_sdram_bankmachine3_cmd_payload_a <= ((soc_sdram_bankmachine3_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ litedramcore_bankmachine3_cmd_payload_a <= ((litedramcore_bankmachine3_auto_precharge <<< 4'd10) | {litedramcore_bankmachine3_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
- dummy_d_179 = dummy_s;
+ dummy_d_166 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine3_twtpcon_valid = ((soc_sdram_bankmachine3_cmd_valid & soc_sdram_bankmachine3_cmd_ready) & soc_sdram_bankmachine3_cmd_payload_is_write);
-assign soc_sdram_bankmachine3_trccon_valid = ((soc_sdram_bankmachine3_cmd_valid & soc_sdram_bankmachine3_cmd_ready) & soc_sdram_bankmachine3_row_open);
-assign soc_sdram_bankmachine3_trascon_valid = ((soc_sdram_bankmachine3_cmd_valid & soc_sdram_bankmachine3_cmd_ready) & soc_sdram_bankmachine3_row_open);
+assign litedramcore_bankmachine3_twtpcon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_cmd_payload_is_write);
+assign litedramcore_bankmachine3_trccon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open);
+assign litedramcore_bankmachine3_trascon_valid = ((litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_ready) & litedramcore_bankmachine3_row_open);
// synthesis translate_off
-reg dummy_d_180;
+reg dummy_d_167;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine3_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine3_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr[21:7] != soc_sdram_bankmachine3_cmd_buffer_source_payload_addr[21:7])) begin
- soc_sdram_bankmachine3_auto_precharge <= (soc_sdram_bankmachine3_row_close == 1'd0);
+ litedramcore_bankmachine3_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine3_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7])) begin
+ litedramcore_bankmachine3_auto_precharge <= (litedramcore_bankmachine3_row_close == 1'd0);
end
end
// synthesis translate_off
- dummy_d_180 = dummy_s;
+ dummy_d_167 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
-assign {soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
-assign {soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
-assign {soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = soc_sdram_bankmachine3_cmd_buffer_lookahead_source_ready;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din = {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
+assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
+assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
+assign {litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_first = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_last = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine3_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re = litedramcore_bankmachine3_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
-reg dummy_d_181;
+reg dummy_d_168;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine3_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine3_cmd_buffer_lookahead_produce - 1'd1);
+ litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine3_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce - 1'd1);
end else begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine3_cmd_buffer_lookahead_produce;
+ litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine3_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
- dummy_d_181 = dummy_s;
+ dummy_d_168 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | soc_sdram_bankmachine3_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_re);
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine3_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = soc_sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (soc_sdram_bankmachine3_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (soc_sdram_bankmachine3_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine3_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine3_cmd_buffer_source_valid) | soc_sdram_bankmachine3_cmd_buffer_source_ready);
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_din;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable | litedramcore_bankmachine3_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_re);
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine3_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_dout = litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_readable = (litedramcore_bankmachine3_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine3_cmd_buffer_sink_ready = ((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_182;
+reg dummy_d_169;
// synthesis translate_on
always @(*) begin
- vns_bankmachine3_next_state <= 4'd0;
- vns_bankmachine3_next_state <= vns_bankmachine3_state;
- case (vns_bankmachine3_state)
+ bankmachine3_next_state <= 4'd0;
+ bankmachine3_next_state <= bankmachine3_state;
+ case (bankmachine3_state)
1'd1: begin
- if ((soc_sdram_bankmachine3_twtpcon_ready & soc_sdram_bankmachine3_trascon_ready)) begin
- if (soc_sdram_bankmachine3_cmd_ready) begin
- vns_bankmachine3_next_state <= 3'd5;
+ if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+ if (litedramcore_bankmachine3_cmd_ready) begin
+ bankmachine3_next_state <= 3'd5;
end
end
end
2'd2: begin
- if ((soc_sdram_bankmachine3_twtpcon_ready & soc_sdram_bankmachine3_trascon_ready)) begin
- vns_bankmachine3_next_state <= 3'd5;
+ if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+ bankmachine3_next_state <= 3'd5;
end
end
2'd3: begin
- if (soc_sdram_bankmachine3_trccon_ready) begin
- if (soc_sdram_bankmachine3_cmd_ready) begin
- vns_bankmachine3_next_state <= 3'd7;
+ if (litedramcore_bankmachine3_trccon_ready) begin
+ if (litedramcore_bankmachine3_cmd_ready) begin
+ bankmachine3_next_state <= 3'd7;
end
end
end
3'd4: begin
- if ((~soc_sdram_bankmachine3_refresh_req)) begin
- vns_bankmachine3_next_state <= 1'd0;
+ if ((~litedramcore_bankmachine3_refresh_req)) begin
+ bankmachine3_next_state <= 1'd0;
end
end
3'd5: begin
- vns_bankmachine3_next_state <= 3'd6;
+ bankmachine3_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine3_next_state <= 2'd3;
+ bankmachine3_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine3_next_state <= 4'd8;
+ bankmachine3_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine3_next_state <= 1'd0;
+ bankmachine3_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
- vns_bankmachine3_next_state <= 3'd4;
+ if (litedramcore_bankmachine3_refresh_req) begin
+ bankmachine3_next_state <= 3'd4;
end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- if ((soc_sdram_bankmachine3_cmd_ready & soc_sdram_bankmachine3_auto_precharge)) begin
- vns_bankmachine3_next_state <= 2'd2;
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ if ((litedramcore_bankmachine3_cmd_ready & litedramcore_bankmachine3_auto_precharge)) begin
+ bankmachine3_next_state <= 2'd2;
end
end else begin
- vns_bankmachine3_next_state <= 1'd1;
+ bankmachine3_next_state <= 1'd1;
end
end else begin
- vns_bankmachine3_next_state <= 2'd3;
+ bankmachine3_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_182 = dummy_s;
+ dummy_d_169 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_183;
+reg dummy_d_170;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_we <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
- if ((soc_sdram_bankmachine3_twtpcon_ready & soc_sdram_bankmachine3_trascon_ready)) begin
- soc_sdram_bankmachine3_cmd_payload_we <= 1'd1;
- end
end
2'd2: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
+ if (litedramcore_bankmachine3_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine3_cmd_payload_we <= 1'd1;
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_183 = dummy_s;
+ dummy_d_170 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_184;
+reg dummy_d_171;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_req_wdata_ready <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine3_trccon_ready) begin
- soc_sdram_bankmachine3_row_col_n_addr_sel <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine3_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine3_req_wdata_ready <= litedramcore_bankmachine3_cmd_ready;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_184 = dummy_s;
+ dummy_d_171 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_185;
+reg dummy_d_172;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_req_rdata_valid <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
- if ((soc_sdram_bankmachine3_twtpcon_ready & soc_sdram_bankmachine3_trascon_ready)) begin
- soc_sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine3_trccon_ready) begin
- soc_sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
- end
end
3'd4: begin
- soc_sdram_bankmachine3_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine3_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine3_req_rdata_valid <= litedramcore_bankmachine3_cmd_ready;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_185 = dummy_s;
+ dummy_d_172 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_186;
+reg dummy_d_173;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_refresh_gnt <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
2'd3: begin
end
3'd4: begin
+ if (litedramcore_bankmachine3_twtpcon_ready) begin
+ litedramcore_bankmachine3_refresh_gnt <= 1'd1;
+ end
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine3_cmd_payload_is_read <= 1'd1;
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_186 = dummy_s;
+ dummy_d_173 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_187;
+reg dummy_d_174;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_cmd_valid <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
+ if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+ litedramcore_bankmachine3_cmd_valid <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine3_trccon_ready) begin
+ litedramcore_bankmachine3_cmd_valid <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
+ if (litedramcore_bankmachine3_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine3_cmd_payload_is_write <= 1'd1;
- end else begin
- end
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ litedramcore_bankmachine3_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_187 = dummy_s;
+ dummy_d_174 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_188;
+reg dummy_d_175;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_req_wdata_ready <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_row_open <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine3_trccon_ready) begin
+ litedramcore_bankmachine3_row_open <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine3_req_wdata_ready <= soc_sdram_bankmachine3_cmd_ready;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_188 = dummy_s;
+ dummy_d_175 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_189;
+reg dummy_d_176;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_req_rdata_valid <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_row_close <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
+ litedramcore_bankmachine3_row_close <= 1'd1;
end
2'd2: begin
+ litedramcore_bankmachine3_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
+ litedramcore_bankmachine3_row_close <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine3_req_rdata_valid <= soc_sdram_bankmachine3_cmd_ready;
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_189 = dummy_s;
+ dummy_d_176 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_190;
+reg dummy_d_177;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_refresh_gnt <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine3_trccon_ready) begin
+ litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1;
+ end
end
3'd4: begin
- if (soc_sdram_bankmachine3_twtpcon_ready) begin
- soc_sdram_bankmachine3_refresh_gnt <= 1'd1;
- end
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_190 = dummy_s;
+ dummy_d_177 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_191;
+reg dummy_d_178;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_valid <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_cmd_payload_cas <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
- if ((soc_sdram_bankmachine3_twtpcon_ready & soc_sdram_bankmachine3_trascon_ready)) begin
- soc_sdram_bankmachine3_cmd_valid <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine3_trccon_ready) begin
- soc_sdram_bankmachine3_cmd_valid <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
+ if (litedramcore_bankmachine3_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- soc_sdram_bankmachine3_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ litedramcore_bankmachine3_cmd_payload_cas <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_191 = dummy_s;
+ dummy_d_178 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_192;
+reg dummy_d_179;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_row_open <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_cmd_payload_ras <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
+ if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+ litedramcore_bankmachine3_cmd_payload_ras <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine3_trccon_ready) begin
- soc_sdram_bankmachine3_row_open <= 1'd1;
+ if (litedramcore_bankmachine3_trccon_ready) begin
+ litedramcore_bankmachine3_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_192 = dummy_s;
+ dummy_d_179 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_193;
+reg dummy_d_180;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_row_close <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_cmd_payload_we <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
- soc_sdram_bankmachine3_row_close <= 1'd1;
+ if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+ litedramcore_bankmachine3_cmd_payload_we <= 1'd1;
+ end
end
2'd2: begin
- soc_sdram_bankmachine3_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- soc_sdram_bankmachine3_row_close <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine3_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine3_cmd_payload_we <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_193 = dummy_s;
+ dummy_d_180 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_194;
+reg dummy_d_181;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
+ if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+ litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine3_trccon_ready) begin
+ litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1;
+ end
end
3'd4: begin
+ litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine3_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine3_row_opened) begin
- if (soc_sdram_bankmachine3_row_hit) begin
- soc_sdram_bankmachine3_cmd_payload_cas <= 1'd1;
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_194 = dummy_s;
+ dummy_d_181 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_195;
+reg dummy_d_182;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine3_state)
+ litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0;
+ case (bankmachine3_state)
1'd1: begin
- if ((soc_sdram_bankmachine3_twtpcon_ready & soc_sdram_bankmachine3_trascon_ready)) begin
- soc_sdram_bankmachine3_cmd_payload_ras <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine3_trccon_ready) begin
- soc_sdram_bankmachine3_cmd_payload_ras <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine3_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine3_row_opened) begin
+ if (litedramcore_bankmachine3_row_hit) begin
+ if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_195 = dummy_s;
+ dummy_d_182 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine4_req_valid;
-assign soc_sdram_bankmachine4_req_ready = soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine4_req_we;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine4_req_addr;
-assign soc_sdram_bankmachine4_cmd_buffer_sink_valid = soc_sdram_bankmachine4_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine4_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine4_cmd_buffer_sink_first = soc_sdram_bankmachine4_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine4_cmd_buffer_sink_last = soc_sdram_bankmachine4_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine4_cmd_buffer_sink_payload_we = soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine4_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine4_cmd_buffer_source_ready = (soc_sdram_bankmachine4_req_wdata_ready | soc_sdram_bankmachine4_req_rdata_valid);
-assign soc_sdram_bankmachine4_req_lock = (soc_sdram_bankmachine4_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine4_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine4_row_hit = (soc_sdram_bankmachine4_row == soc_sdram_bankmachine4_cmd_buffer_source_payload_addr[21:7]);
-assign soc_sdram_bankmachine4_cmd_payload_ba = 3'd4;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine4_req_valid;
+assign litedramcore_bankmachine4_req_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine4_req_we;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine4_req_addr;
+assign litedramcore_bankmachine4_cmd_buffer_sink_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine4_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine4_cmd_buffer_sink_first = litedramcore_bankmachine4_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine4_cmd_buffer_sink_last = litedramcore_bankmachine4_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine4_cmd_buffer_sink_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine4_cmd_buffer_sink_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine4_cmd_buffer_source_ready = (litedramcore_bankmachine4_req_wdata_ready | litedramcore_bankmachine4_req_rdata_valid);
+assign litedramcore_bankmachine4_req_lock = (litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine4_cmd_buffer_source_valid);
+assign litedramcore_bankmachine4_row_hit = (litedramcore_bankmachine4_row == litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7]);
+assign litedramcore_bankmachine4_cmd_payload_ba = 3'd4;
// synthesis translate_off
-reg dummy_d_196;
+reg dummy_d_183;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_a <= 15'd0;
- if (soc_sdram_bankmachine4_row_col_n_addr_sel) begin
- soc_sdram_bankmachine4_cmd_payload_a <= soc_sdram_bankmachine4_cmd_buffer_source_payload_addr[21:7];
+ litedramcore_bankmachine4_cmd_payload_a <= 15'd0;
+ if (litedramcore_bankmachine4_row_col_n_addr_sel) begin
+ litedramcore_bankmachine4_cmd_payload_a <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7];
end else begin
- soc_sdram_bankmachine4_cmd_payload_a <= ((soc_sdram_bankmachine4_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ litedramcore_bankmachine4_cmd_payload_a <= ((litedramcore_bankmachine4_auto_precharge <<< 4'd10) | {litedramcore_bankmachine4_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
- dummy_d_196 = dummy_s;
+ dummy_d_183 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine4_twtpcon_valid = ((soc_sdram_bankmachine4_cmd_valid & soc_sdram_bankmachine4_cmd_ready) & soc_sdram_bankmachine4_cmd_payload_is_write);
-assign soc_sdram_bankmachine4_trccon_valid = ((soc_sdram_bankmachine4_cmd_valid & soc_sdram_bankmachine4_cmd_ready) & soc_sdram_bankmachine4_row_open);
-assign soc_sdram_bankmachine4_trascon_valid = ((soc_sdram_bankmachine4_cmd_valid & soc_sdram_bankmachine4_cmd_ready) & soc_sdram_bankmachine4_row_open);
+assign litedramcore_bankmachine4_twtpcon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_cmd_payload_is_write);
+assign litedramcore_bankmachine4_trccon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open);
+assign litedramcore_bankmachine4_trascon_valid = ((litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_ready) & litedramcore_bankmachine4_row_open);
// synthesis translate_off
-reg dummy_d_197;
+reg dummy_d_184;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine4_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine4_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr[21:7] != soc_sdram_bankmachine4_cmd_buffer_source_payload_addr[21:7])) begin
- soc_sdram_bankmachine4_auto_precharge <= (soc_sdram_bankmachine4_row_close == 1'd0);
+ litedramcore_bankmachine4_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine4_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7])) begin
+ litedramcore_bankmachine4_auto_precharge <= (litedramcore_bankmachine4_row_close == 1'd0);
end
end
// synthesis translate_off
- dummy_d_197 = dummy_s;
+ dummy_d_184 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
-assign {soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
-assign {soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
-assign {soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = soc_sdram_bankmachine4_cmd_buffer_lookahead_source_ready;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din = {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
+assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
+assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
+assign {litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_first = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_last = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine4_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re = litedramcore_bankmachine4_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
-reg dummy_d_198;
+reg dummy_d_185;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine4_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine4_cmd_buffer_lookahead_produce - 1'd1);
+ litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine4_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce - 1'd1);
end else begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine4_cmd_buffer_lookahead_produce;
+ litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine4_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
- dummy_d_198 = dummy_s;
+ dummy_d_185 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | soc_sdram_bankmachine4_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_re);
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine4_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = soc_sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (soc_sdram_bankmachine4_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (soc_sdram_bankmachine4_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine4_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine4_cmd_buffer_source_valid) | soc_sdram_bankmachine4_cmd_buffer_source_ready);
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_din;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable | litedramcore_bankmachine4_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_re);
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine4_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_dout = litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_readable = (litedramcore_bankmachine4_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine4_cmd_buffer_sink_ready = ((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_199;
+reg dummy_d_186;
// synthesis translate_on
always @(*) begin
- vns_bankmachine4_next_state <= 4'd0;
- vns_bankmachine4_next_state <= vns_bankmachine4_state;
- case (vns_bankmachine4_state)
+ bankmachine4_next_state <= 4'd0;
+ bankmachine4_next_state <= bankmachine4_state;
+ case (bankmachine4_state)
1'd1: begin
- if ((soc_sdram_bankmachine4_twtpcon_ready & soc_sdram_bankmachine4_trascon_ready)) begin
- if (soc_sdram_bankmachine4_cmd_ready) begin
- vns_bankmachine4_next_state <= 3'd5;
+ if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+ if (litedramcore_bankmachine4_cmd_ready) begin
+ bankmachine4_next_state <= 3'd5;
end
end
end
2'd2: begin
- if ((soc_sdram_bankmachine4_twtpcon_ready & soc_sdram_bankmachine4_trascon_ready)) begin
- vns_bankmachine4_next_state <= 3'd5;
+ if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+ bankmachine4_next_state <= 3'd5;
end
end
2'd3: begin
- if (soc_sdram_bankmachine4_trccon_ready) begin
- if (soc_sdram_bankmachine4_cmd_ready) begin
- vns_bankmachine4_next_state <= 3'd7;
+ if (litedramcore_bankmachine4_trccon_ready) begin
+ if (litedramcore_bankmachine4_cmd_ready) begin
+ bankmachine4_next_state <= 3'd7;
end
end
end
3'd4: begin
- if ((~soc_sdram_bankmachine4_refresh_req)) begin
- vns_bankmachine4_next_state <= 1'd0;
+ if ((~litedramcore_bankmachine4_refresh_req)) begin
+ bankmachine4_next_state <= 1'd0;
end
end
3'd5: begin
- vns_bankmachine4_next_state <= 3'd6;
+ bankmachine4_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine4_next_state <= 2'd3;
+ bankmachine4_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine4_next_state <= 4'd8;
+ bankmachine4_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine4_next_state <= 1'd0;
+ bankmachine4_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
- vns_bankmachine4_next_state <= 3'd4;
+ if (litedramcore_bankmachine4_refresh_req) begin
+ bankmachine4_next_state <= 3'd4;
end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- if ((soc_sdram_bankmachine4_cmd_ready & soc_sdram_bankmachine4_auto_precharge)) begin
- vns_bankmachine4_next_state <= 2'd2;
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ if ((litedramcore_bankmachine4_cmd_ready & litedramcore_bankmachine4_auto_precharge)) begin
+ bankmachine4_next_state <= 2'd2;
end
end else begin
- vns_bankmachine4_next_state <= 1'd1;
+ bankmachine4_next_state <= 1'd1;
end
end else begin
- vns_bankmachine4_next_state <= 2'd3;
+ bankmachine4_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_199 = dummy_s;
+ dummy_d_186 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_200;
+reg dummy_d_187;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_we <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
- if ((soc_sdram_bankmachine4_twtpcon_ready & soc_sdram_bankmachine4_trascon_ready)) begin
- soc_sdram_bankmachine4_cmd_payload_we <= 1'd1;
- end
end
2'd2: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
+ if (litedramcore_bankmachine4_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine4_cmd_payload_we <= 1'd1;
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_200 = dummy_s;
+ dummy_d_187 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_201;
+reg dummy_d_188;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_req_wdata_ready <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine4_trccon_ready) begin
- soc_sdram_bankmachine4_row_col_n_addr_sel <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine4_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine4_req_wdata_ready <= litedramcore_bankmachine4_cmd_ready;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_201 = dummy_s;
+ dummy_d_188 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_202;
+reg dummy_d_189;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_req_rdata_valid <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
- if ((soc_sdram_bankmachine4_twtpcon_ready & soc_sdram_bankmachine4_trascon_ready)) begin
- soc_sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine4_trccon_ready) begin
- soc_sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
- end
end
3'd4: begin
- soc_sdram_bankmachine4_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine4_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine4_req_rdata_valid <= litedramcore_bankmachine4_cmd_ready;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_202 = dummy_s;
+ dummy_d_189 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_203;
+reg dummy_d_190;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_refresh_gnt <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
2'd3: begin
end
3'd4: begin
+ if (litedramcore_bankmachine4_twtpcon_ready) begin
+ litedramcore_bankmachine4_refresh_gnt <= 1'd1;
+ end
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine4_cmd_payload_is_read <= 1'd1;
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_203 = dummy_s;
+ dummy_d_190 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_204;
+reg dummy_d_191;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_cmd_valid <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
+ if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+ litedramcore_bankmachine4_cmd_valid <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine4_trccon_ready) begin
+ litedramcore_bankmachine4_cmd_valid <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
+ if (litedramcore_bankmachine4_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine4_cmd_payload_is_write <= 1'd1;
- end else begin
- end
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ litedramcore_bankmachine4_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_204 = dummy_s;
+ dummy_d_191 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_205;
+reg dummy_d_192;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_req_wdata_ready <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine4_trccon_ready) begin
+ litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine4_req_wdata_ready <= soc_sdram_bankmachine4_cmd_ready;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_205 = dummy_s;
+ dummy_d_192 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_206;
+reg dummy_d_193;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_req_rdata_valid <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_row_open <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine4_trccon_ready) begin
+ litedramcore_bankmachine4_row_open <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine4_req_rdata_valid <= soc_sdram_bankmachine4_cmd_ready;
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_206 = dummy_s;
+ dummy_d_193 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_207;
+reg dummy_d_194;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_refresh_gnt <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_row_close <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
+ litedramcore_bankmachine4_row_close <= 1'd1;
end
2'd2: begin
+ litedramcore_bankmachine4_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- if (soc_sdram_bankmachine4_twtpcon_ready) begin
- soc_sdram_bankmachine4_refresh_gnt <= 1'd1;
- end
+ litedramcore_bankmachine4_row_close <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_207 = dummy_s;
+ dummy_d_194 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_208;
+reg dummy_d_195;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_valid <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_cmd_payload_cas <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
- if ((soc_sdram_bankmachine4_twtpcon_ready & soc_sdram_bankmachine4_trascon_ready)) begin
- soc_sdram_bankmachine4_cmd_valid <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine4_trccon_ready) begin
- soc_sdram_bankmachine4_cmd_valid <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
+ if (litedramcore_bankmachine4_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- soc_sdram_bankmachine4_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ litedramcore_bankmachine4_cmd_payload_cas <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_208 = dummy_s;
+ dummy_d_195 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_209;
+reg dummy_d_196;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_row_open <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_cmd_payload_ras <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
+ if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+ litedramcore_bankmachine4_cmd_payload_ras <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine4_trccon_ready) begin
- soc_sdram_bankmachine4_row_open <= 1'd1;
+ if (litedramcore_bankmachine4_trccon_ready) begin
+ litedramcore_bankmachine4_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_209 = dummy_s;
+ dummy_d_196 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_210;
+reg dummy_d_197;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_row_close <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_cmd_payload_we <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
- soc_sdram_bankmachine4_row_close <= 1'd1;
+ if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+ litedramcore_bankmachine4_cmd_payload_we <= 1'd1;
+ end
end
2'd2: begin
- soc_sdram_bankmachine4_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- soc_sdram_bankmachine4_row_close <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine4_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine4_cmd_payload_we <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_210 = dummy_s;
+ dummy_d_197 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_211;
+reg dummy_d_198;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
+ if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+ litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine4_trccon_ready) begin
+ litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1;
+ end
end
3'd4: begin
+ litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine4_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine4_row_opened) begin
- if (soc_sdram_bankmachine4_row_hit) begin
- soc_sdram_bankmachine4_cmd_payload_cas <= 1'd1;
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_211 = dummy_s;
+ dummy_d_198 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_212;
+reg dummy_d_199;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine4_state)
+ litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0;
+ case (bankmachine4_state)
1'd1: begin
- if ((soc_sdram_bankmachine4_twtpcon_ready & soc_sdram_bankmachine4_trascon_ready)) begin
- soc_sdram_bankmachine4_cmd_payload_ras <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine4_trccon_ready) begin
- soc_sdram_bankmachine4_cmd_payload_ras <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine4_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine4_row_opened) begin
+ if (litedramcore_bankmachine4_row_hit) begin
+ if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_212 = dummy_s;
+ dummy_d_199 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine5_req_valid;
-assign soc_sdram_bankmachine5_req_ready = soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine5_req_we;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine5_req_addr;
-assign soc_sdram_bankmachine5_cmd_buffer_sink_valid = soc_sdram_bankmachine5_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine5_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine5_cmd_buffer_sink_first = soc_sdram_bankmachine5_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine5_cmd_buffer_sink_last = soc_sdram_bankmachine5_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine5_cmd_buffer_sink_payload_we = soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine5_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine5_cmd_buffer_source_ready = (soc_sdram_bankmachine5_req_wdata_ready | soc_sdram_bankmachine5_req_rdata_valid);
-assign soc_sdram_bankmachine5_req_lock = (soc_sdram_bankmachine5_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine5_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine5_row_hit = (soc_sdram_bankmachine5_row == soc_sdram_bankmachine5_cmd_buffer_source_payload_addr[21:7]);
-assign soc_sdram_bankmachine5_cmd_payload_ba = 3'd5;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine5_req_valid;
+assign litedramcore_bankmachine5_req_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine5_req_we;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine5_req_addr;
+assign litedramcore_bankmachine5_cmd_buffer_sink_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine5_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine5_cmd_buffer_sink_first = litedramcore_bankmachine5_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine5_cmd_buffer_sink_last = litedramcore_bankmachine5_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine5_cmd_buffer_sink_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine5_cmd_buffer_sink_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine5_cmd_buffer_source_ready = (litedramcore_bankmachine5_req_wdata_ready | litedramcore_bankmachine5_req_rdata_valid);
+assign litedramcore_bankmachine5_req_lock = (litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine5_cmd_buffer_source_valid);
+assign litedramcore_bankmachine5_row_hit = (litedramcore_bankmachine5_row == litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7]);
+assign litedramcore_bankmachine5_cmd_payload_ba = 3'd5;
// synthesis translate_off
-reg dummy_d_213;
+reg dummy_d_200;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_a <= 15'd0;
- if (soc_sdram_bankmachine5_row_col_n_addr_sel) begin
- soc_sdram_bankmachine5_cmd_payload_a <= soc_sdram_bankmachine5_cmd_buffer_source_payload_addr[21:7];
+ litedramcore_bankmachine5_cmd_payload_a <= 15'd0;
+ if (litedramcore_bankmachine5_row_col_n_addr_sel) begin
+ litedramcore_bankmachine5_cmd_payload_a <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7];
end else begin
- soc_sdram_bankmachine5_cmd_payload_a <= ((soc_sdram_bankmachine5_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ litedramcore_bankmachine5_cmd_payload_a <= ((litedramcore_bankmachine5_auto_precharge <<< 4'd10) | {litedramcore_bankmachine5_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
- dummy_d_213 = dummy_s;
+ dummy_d_200 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine5_twtpcon_valid = ((soc_sdram_bankmachine5_cmd_valid & soc_sdram_bankmachine5_cmd_ready) & soc_sdram_bankmachine5_cmd_payload_is_write);
-assign soc_sdram_bankmachine5_trccon_valid = ((soc_sdram_bankmachine5_cmd_valid & soc_sdram_bankmachine5_cmd_ready) & soc_sdram_bankmachine5_row_open);
-assign soc_sdram_bankmachine5_trascon_valid = ((soc_sdram_bankmachine5_cmd_valid & soc_sdram_bankmachine5_cmd_ready) & soc_sdram_bankmachine5_row_open);
+assign litedramcore_bankmachine5_twtpcon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_cmd_payload_is_write);
+assign litedramcore_bankmachine5_trccon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open);
+assign litedramcore_bankmachine5_trascon_valid = ((litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_ready) & litedramcore_bankmachine5_row_open);
// synthesis translate_off
-reg dummy_d_214;
+reg dummy_d_201;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine5_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine5_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr[21:7] != soc_sdram_bankmachine5_cmd_buffer_source_payload_addr[21:7])) begin
- soc_sdram_bankmachine5_auto_precharge <= (soc_sdram_bankmachine5_row_close == 1'd0);
+ litedramcore_bankmachine5_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine5_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7])) begin
+ litedramcore_bankmachine5_auto_precharge <= (litedramcore_bankmachine5_row_close == 1'd0);
end
end
// synthesis translate_off
- dummy_d_214 = dummy_s;
+ dummy_d_201 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
-assign {soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
-assign {soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
-assign {soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = soc_sdram_bankmachine5_cmd_buffer_lookahead_source_ready;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din = {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
+assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
+assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
+assign {litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_first = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_last = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine5_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re = litedramcore_bankmachine5_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
-reg dummy_d_215;
+reg dummy_d_202;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine5_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine5_cmd_buffer_lookahead_produce - 1'd1);
+ litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine5_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce - 1'd1);
end else begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine5_cmd_buffer_lookahead_produce;
+ litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine5_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
- dummy_d_215 = dummy_s;
+ dummy_d_202 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | soc_sdram_bankmachine5_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_re);
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine5_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = soc_sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (soc_sdram_bankmachine5_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (soc_sdram_bankmachine5_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine5_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine5_cmd_buffer_source_valid) | soc_sdram_bankmachine5_cmd_buffer_source_ready);
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_din;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable | litedramcore_bankmachine5_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_re);
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine5_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_dout = litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_readable = (litedramcore_bankmachine5_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine5_cmd_buffer_sink_ready = ((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_216;
+reg dummy_d_203;
// synthesis translate_on
always @(*) begin
- vns_bankmachine5_next_state <= 4'd0;
- vns_bankmachine5_next_state <= vns_bankmachine5_state;
- case (vns_bankmachine5_state)
+ bankmachine5_next_state <= 4'd0;
+ bankmachine5_next_state <= bankmachine5_state;
+ case (bankmachine5_state)
1'd1: begin
- if ((soc_sdram_bankmachine5_twtpcon_ready & soc_sdram_bankmachine5_trascon_ready)) begin
- if (soc_sdram_bankmachine5_cmd_ready) begin
- vns_bankmachine5_next_state <= 3'd5;
+ if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+ if (litedramcore_bankmachine5_cmd_ready) begin
+ bankmachine5_next_state <= 3'd5;
end
end
end
2'd2: begin
- if ((soc_sdram_bankmachine5_twtpcon_ready & soc_sdram_bankmachine5_trascon_ready)) begin
- vns_bankmachine5_next_state <= 3'd5;
+ if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+ bankmachine5_next_state <= 3'd5;
end
end
2'd3: begin
- if (soc_sdram_bankmachine5_trccon_ready) begin
- if (soc_sdram_bankmachine5_cmd_ready) begin
- vns_bankmachine5_next_state <= 3'd7;
+ if (litedramcore_bankmachine5_trccon_ready) begin
+ if (litedramcore_bankmachine5_cmd_ready) begin
+ bankmachine5_next_state <= 3'd7;
end
end
end
3'd4: begin
- if ((~soc_sdram_bankmachine5_refresh_req)) begin
- vns_bankmachine5_next_state <= 1'd0;
+ if ((~litedramcore_bankmachine5_refresh_req)) begin
+ bankmachine5_next_state <= 1'd0;
end
end
3'd5: begin
- vns_bankmachine5_next_state <= 3'd6;
+ bankmachine5_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine5_next_state <= 2'd3;
+ bankmachine5_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine5_next_state <= 4'd8;
+ bankmachine5_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine5_next_state <= 1'd0;
+ bankmachine5_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
- vns_bankmachine5_next_state <= 3'd4;
+ if (litedramcore_bankmachine5_refresh_req) begin
+ bankmachine5_next_state <= 3'd4;
end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- if ((soc_sdram_bankmachine5_cmd_ready & soc_sdram_bankmachine5_auto_precharge)) begin
- vns_bankmachine5_next_state <= 2'd2;
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ if ((litedramcore_bankmachine5_cmd_ready & litedramcore_bankmachine5_auto_precharge)) begin
+ bankmachine5_next_state <= 2'd2;
end
end else begin
- vns_bankmachine5_next_state <= 1'd1;
+ bankmachine5_next_state <= 1'd1;
end
end else begin
- vns_bankmachine5_next_state <= 2'd3;
+ bankmachine5_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_216 = dummy_s;
+ dummy_d_203 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_217;
+reg dummy_d_204;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_we <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
- if ((soc_sdram_bankmachine5_twtpcon_ready & soc_sdram_bankmachine5_trascon_ready)) begin
- soc_sdram_bankmachine5_cmd_payload_we <= 1'd1;
- end
end
2'd2: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
+ if (litedramcore_bankmachine5_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine5_cmd_payload_we <= 1'd1;
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_217 = dummy_s;
+ dummy_d_204 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_218;
+reg dummy_d_205;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_req_wdata_ready <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine5_trccon_ready) begin
- soc_sdram_bankmachine5_row_col_n_addr_sel <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine5_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine5_req_wdata_ready <= litedramcore_bankmachine5_cmd_ready;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_218 = dummy_s;
+ dummy_d_205 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_219;
+reg dummy_d_206;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_req_rdata_valid <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
- if ((soc_sdram_bankmachine5_twtpcon_ready & soc_sdram_bankmachine5_trascon_ready)) begin
- soc_sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine5_trccon_ready) begin
- soc_sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
- end
end
3'd4: begin
- soc_sdram_bankmachine5_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine5_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine5_req_rdata_valid <= litedramcore_bankmachine5_cmd_ready;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_219 = dummy_s;
+ dummy_d_206 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_220;
+reg dummy_d_207;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine5_trccon_ready) begin
+ litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine5_cmd_payload_is_read <= 1'd1;
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_220 = dummy_s;
+ dummy_d_207 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_221;
+reg dummy_d_208;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_refresh_gnt <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
2'd3: begin
end
3'd4: begin
+ if (litedramcore_bankmachine5_twtpcon_ready) begin
+ litedramcore_bankmachine5_refresh_gnt <= 1'd1;
+ end
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine5_cmd_payload_is_write <= 1'd1;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_221 = dummy_s;
+ dummy_d_208 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_222;
+reg dummy_d_209;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_req_wdata_ready <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_cmd_valid <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
+ if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+ litedramcore_bankmachine5_cmd_valid <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine5_trccon_ready) begin
+ litedramcore_bankmachine5_cmd_valid <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
+ if (litedramcore_bankmachine5_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine5_req_wdata_ready <= soc_sdram_bankmachine5_cmd_ready;
- end else begin
- end
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ litedramcore_bankmachine5_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_222 = dummy_s;
+ dummy_d_209 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_223;
+reg dummy_d_210;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_req_rdata_valid <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_row_open <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine5_trccon_ready) begin
+ litedramcore_bankmachine5_row_open <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine5_req_rdata_valid <= soc_sdram_bankmachine5_cmd_ready;
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_223 = dummy_s;
+ dummy_d_210 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_224;
+reg dummy_d_211;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_refresh_gnt <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_row_close <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
+ litedramcore_bankmachine5_row_close <= 1'd1;
end
2'd2: begin
+ litedramcore_bankmachine5_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- if (soc_sdram_bankmachine5_twtpcon_ready) begin
- soc_sdram_bankmachine5_refresh_gnt <= 1'd1;
- end
+ litedramcore_bankmachine5_row_close <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_224 = dummy_s;
+ dummy_d_211 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_225;
+reg dummy_d_212;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_valid <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_cmd_payload_cas <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
- if ((soc_sdram_bankmachine5_twtpcon_ready & soc_sdram_bankmachine5_trascon_ready)) begin
- soc_sdram_bankmachine5_cmd_valid <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine5_trccon_ready) begin
- soc_sdram_bankmachine5_cmd_valid <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
+ if (litedramcore_bankmachine5_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- soc_sdram_bankmachine5_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ litedramcore_bankmachine5_cmd_payload_cas <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_225 = dummy_s;
+ dummy_d_212 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_226;
+reg dummy_d_213;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_row_open <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_cmd_payload_ras <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
+ if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+ litedramcore_bankmachine5_cmd_payload_ras <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine5_trccon_ready) begin
- soc_sdram_bankmachine5_row_open <= 1'd1;
+ if (litedramcore_bankmachine5_trccon_ready) begin
+ litedramcore_bankmachine5_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_226 = dummy_s;
+ dummy_d_213 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_227;
+reg dummy_d_214;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_row_close <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_cmd_payload_we <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
- soc_sdram_bankmachine5_row_close <= 1'd1;
+ if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+ litedramcore_bankmachine5_cmd_payload_we <= 1'd1;
+ end
end
2'd2: begin
- soc_sdram_bankmachine5_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- soc_sdram_bankmachine5_row_close <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine5_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine5_cmd_payload_we <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_227 = dummy_s;
+ dummy_d_214 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_228;
+reg dummy_d_215;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
+ if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+ litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine5_trccon_ready) begin
+ litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1;
+ end
end
3'd4: begin
+ litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine5_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine5_row_opened) begin
- if (soc_sdram_bankmachine5_row_hit) begin
- soc_sdram_bankmachine5_cmd_payload_cas <= 1'd1;
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_228 = dummy_s;
+ dummy_d_215 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_229;
+reg dummy_d_216;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine5_state)
+ litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0;
+ case (bankmachine5_state)
1'd1: begin
- if ((soc_sdram_bankmachine5_twtpcon_ready & soc_sdram_bankmachine5_trascon_ready)) begin
- soc_sdram_bankmachine5_cmd_payload_ras <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine5_trccon_ready) begin
- soc_sdram_bankmachine5_cmd_payload_ras <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine5_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine5_row_opened) begin
+ if (litedramcore_bankmachine5_row_hit) begin
+ if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_229 = dummy_s;
+ dummy_d_216 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine6_req_valid;
-assign soc_sdram_bankmachine6_req_ready = soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine6_req_we;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine6_req_addr;
-assign soc_sdram_bankmachine6_cmd_buffer_sink_valid = soc_sdram_bankmachine6_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine6_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine6_cmd_buffer_sink_first = soc_sdram_bankmachine6_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine6_cmd_buffer_sink_last = soc_sdram_bankmachine6_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine6_cmd_buffer_sink_payload_we = soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine6_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine6_cmd_buffer_source_ready = (soc_sdram_bankmachine6_req_wdata_ready | soc_sdram_bankmachine6_req_rdata_valid);
-assign soc_sdram_bankmachine6_req_lock = (soc_sdram_bankmachine6_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine6_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine6_row_hit = (soc_sdram_bankmachine6_row == soc_sdram_bankmachine6_cmd_buffer_source_payload_addr[21:7]);
-assign soc_sdram_bankmachine6_cmd_payload_ba = 3'd6;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine6_req_valid;
+assign litedramcore_bankmachine6_req_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine6_req_we;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine6_req_addr;
+assign litedramcore_bankmachine6_cmd_buffer_sink_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine6_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine6_cmd_buffer_sink_first = litedramcore_bankmachine6_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine6_cmd_buffer_sink_last = litedramcore_bankmachine6_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine6_cmd_buffer_sink_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine6_cmd_buffer_sink_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine6_cmd_buffer_source_ready = (litedramcore_bankmachine6_req_wdata_ready | litedramcore_bankmachine6_req_rdata_valid);
+assign litedramcore_bankmachine6_req_lock = (litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine6_cmd_buffer_source_valid);
+assign litedramcore_bankmachine6_row_hit = (litedramcore_bankmachine6_row == litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7]);
+assign litedramcore_bankmachine6_cmd_payload_ba = 3'd6;
// synthesis translate_off
-reg dummy_d_230;
+reg dummy_d_217;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_a <= 15'd0;
- if (soc_sdram_bankmachine6_row_col_n_addr_sel) begin
- soc_sdram_bankmachine6_cmd_payload_a <= soc_sdram_bankmachine6_cmd_buffer_source_payload_addr[21:7];
+ litedramcore_bankmachine6_cmd_payload_a <= 15'd0;
+ if (litedramcore_bankmachine6_row_col_n_addr_sel) begin
+ litedramcore_bankmachine6_cmd_payload_a <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7];
end else begin
- soc_sdram_bankmachine6_cmd_payload_a <= ((soc_sdram_bankmachine6_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ litedramcore_bankmachine6_cmd_payload_a <= ((litedramcore_bankmachine6_auto_precharge <<< 4'd10) | {litedramcore_bankmachine6_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
- dummy_d_230 = dummy_s;
+ dummy_d_217 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine6_twtpcon_valid = ((soc_sdram_bankmachine6_cmd_valid & soc_sdram_bankmachine6_cmd_ready) & soc_sdram_bankmachine6_cmd_payload_is_write);
-assign soc_sdram_bankmachine6_trccon_valid = ((soc_sdram_bankmachine6_cmd_valid & soc_sdram_bankmachine6_cmd_ready) & soc_sdram_bankmachine6_row_open);
-assign soc_sdram_bankmachine6_trascon_valid = ((soc_sdram_bankmachine6_cmd_valid & soc_sdram_bankmachine6_cmd_ready) & soc_sdram_bankmachine6_row_open);
+assign litedramcore_bankmachine6_twtpcon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_cmd_payload_is_write);
+assign litedramcore_bankmachine6_trccon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open);
+assign litedramcore_bankmachine6_trascon_valid = ((litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_ready) & litedramcore_bankmachine6_row_open);
// synthesis translate_off
-reg dummy_d_231;
+reg dummy_d_218;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine6_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine6_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr[21:7] != soc_sdram_bankmachine6_cmd_buffer_source_payload_addr[21:7])) begin
- soc_sdram_bankmachine6_auto_precharge <= (soc_sdram_bankmachine6_row_close == 1'd0);
+ litedramcore_bankmachine6_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine6_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7])) begin
+ litedramcore_bankmachine6_auto_precharge <= (litedramcore_bankmachine6_row_close == 1'd0);
end
end
// synthesis translate_off
- dummy_d_231 = dummy_s;
+ dummy_d_218 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
-assign {soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
-assign {soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
-assign {soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = soc_sdram_bankmachine6_cmd_buffer_lookahead_source_ready;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din = {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
+assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
+assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
+assign {litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_first = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_last = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine6_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re = litedramcore_bankmachine6_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
-reg dummy_d_232;
+reg dummy_d_219;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine6_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine6_cmd_buffer_lookahead_produce - 1'd1);
+ litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine6_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce - 1'd1);
end else begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine6_cmd_buffer_lookahead_produce;
+ litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine6_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
- dummy_d_232 = dummy_s;
+ dummy_d_219 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | soc_sdram_bankmachine6_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_re);
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine6_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = soc_sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (soc_sdram_bankmachine6_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (soc_sdram_bankmachine6_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine6_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine6_cmd_buffer_source_valid) | soc_sdram_bankmachine6_cmd_buffer_source_ready);
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_din;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable | litedramcore_bankmachine6_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_re);
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine6_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_dout = litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_readable = (litedramcore_bankmachine6_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine6_cmd_buffer_sink_ready = ((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_233;
+reg dummy_d_220;
// synthesis translate_on
always @(*) begin
- vns_bankmachine6_next_state <= 4'd0;
- vns_bankmachine6_next_state <= vns_bankmachine6_state;
- case (vns_bankmachine6_state)
+ bankmachine6_next_state <= 4'd0;
+ bankmachine6_next_state <= bankmachine6_state;
+ case (bankmachine6_state)
1'd1: begin
- if ((soc_sdram_bankmachine6_twtpcon_ready & soc_sdram_bankmachine6_trascon_ready)) begin
- if (soc_sdram_bankmachine6_cmd_ready) begin
- vns_bankmachine6_next_state <= 3'd5;
+ if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+ if (litedramcore_bankmachine6_cmd_ready) begin
+ bankmachine6_next_state <= 3'd5;
end
end
end
2'd2: begin
- if ((soc_sdram_bankmachine6_twtpcon_ready & soc_sdram_bankmachine6_trascon_ready)) begin
- vns_bankmachine6_next_state <= 3'd5;
+ if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+ bankmachine6_next_state <= 3'd5;
end
end
2'd3: begin
- if (soc_sdram_bankmachine6_trccon_ready) begin
- if (soc_sdram_bankmachine6_cmd_ready) begin
- vns_bankmachine6_next_state <= 3'd7;
+ if (litedramcore_bankmachine6_trccon_ready) begin
+ if (litedramcore_bankmachine6_cmd_ready) begin
+ bankmachine6_next_state <= 3'd7;
end
end
end
3'd4: begin
- if ((~soc_sdram_bankmachine6_refresh_req)) begin
- vns_bankmachine6_next_state <= 1'd0;
+ if ((~litedramcore_bankmachine6_refresh_req)) begin
+ bankmachine6_next_state <= 1'd0;
end
end
3'd5: begin
- vns_bankmachine6_next_state <= 3'd6;
+ bankmachine6_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine6_next_state <= 2'd3;
+ bankmachine6_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine6_next_state <= 4'd8;
+ bankmachine6_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine6_next_state <= 1'd0;
+ bankmachine6_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
- vns_bankmachine6_next_state <= 3'd4;
+ if (litedramcore_bankmachine6_refresh_req) begin
+ bankmachine6_next_state <= 3'd4;
end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- if ((soc_sdram_bankmachine6_cmd_ready & soc_sdram_bankmachine6_auto_precharge)) begin
- vns_bankmachine6_next_state <= 2'd2;
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ if ((litedramcore_bankmachine6_cmd_ready & litedramcore_bankmachine6_auto_precharge)) begin
+ bankmachine6_next_state <= 2'd2;
end
end else begin
- vns_bankmachine6_next_state <= 1'd1;
+ bankmachine6_next_state <= 1'd1;
end
end else begin
- vns_bankmachine6_next_state <= 2'd3;
+ bankmachine6_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_233 = dummy_s;
+ dummy_d_220 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_234;
+reg dummy_d_221;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_we <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
- if ((soc_sdram_bankmachine6_twtpcon_ready & soc_sdram_bankmachine6_trascon_ready)) begin
- soc_sdram_bankmachine6_cmd_payload_we <= 1'd1;
- end
end
2'd2: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
+ if (litedramcore_bankmachine6_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine6_cmd_payload_we <= 1'd1;
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_234 = dummy_s;
+ dummy_d_221 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_235;
+reg dummy_d_222;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_req_wdata_ready <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine6_trccon_ready) begin
- soc_sdram_bankmachine6_row_col_n_addr_sel <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine6_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine6_req_wdata_ready <= litedramcore_bankmachine6_cmd_ready;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_235 = dummy_s;
+ dummy_d_222 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_236;
+reg dummy_d_223;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_req_rdata_valid <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
- if ((soc_sdram_bankmachine6_twtpcon_ready & soc_sdram_bankmachine6_trascon_ready)) begin
- soc_sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine6_trccon_ready) begin
- soc_sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
- end
end
3'd4: begin
- soc_sdram_bankmachine6_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine6_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine6_req_rdata_valid <= litedramcore_bankmachine6_cmd_ready;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_236 = dummy_s;
+ dummy_d_223 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_237;
+reg dummy_d_224;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_refresh_gnt <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
2'd3: begin
end
3'd4: begin
+ if (litedramcore_bankmachine6_twtpcon_ready) begin
+ litedramcore_bankmachine6_refresh_gnt <= 1'd1;
+ end
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine6_cmd_payload_is_read <= 1'd1;
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_237 = dummy_s;
+ dummy_d_224 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_238;
+reg dummy_d_225;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_cmd_valid <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
+ if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+ litedramcore_bankmachine6_cmd_valid <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine6_trccon_ready) begin
+ litedramcore_bankmachine6_cmd_valid <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
+ if (litedramcore_bankmachine6_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine6_cmd_payload_is_write <= 1'd1;
- end else begin
- end
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ litedramcore_bankmachine6_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_238 = dummy_s;
+ dummy_d_225 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_239;
+reg dummy_d_226;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_req_wdata_ready <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine6_trccon_ready) begin
+ litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine6_req_wdata_ready <= soc_sdram_bankmachine6_cmd_ready;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_239 = dummy_s;
+ dummy_d_226 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_240;
+reg dummy_d_227;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_req_rdata_valid <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_row_open <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine6_trccon_ready) begin
+ litedramcore_bankmachine6_row_open <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine6_req_rdata_valid <= soc_sdram_bankmachine6_cmd_ready;
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_240 = dummy_s;
+ dummy_d_227 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_241;
+reg dummy_d_228;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_refresh_gnt <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_row_close <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
+ litedramcore_bankmachine6_row_close <= 1'd1;
end
2'd2: begin
+ litedramcore_bankmachine6_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- if (soc_sdram_bankmachine6_twtpcon_ready) begin
- soc_sdram_bankmachine6_refresh_gnt <= 1'd1;
- end
+ litedramcore_bankmachine6_row_close <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_241 = dummy_s;
+ dummy_d_228 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_242;
+reg dummy_d_229;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_valid <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_cmd_payload_cas <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
- if ((soc_sdram_bankmachine6_twtpcon_ready & soc_sdram_bankmachine6_trascon_ready)) begin
- soc_sdram_bankmachine6_cmd_valid <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine6_trccon_ready) begin
- soc_sdram_bankmachine6_cmd_valid <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
+ if (litedramcore_bankmachine6_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- soc_sdram_bankmachine6_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ litedramcore_bankmachine6_cmd_payload_cas <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_242 = dummy_s;
+ dummy_d_229 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_243;
+reg dummy_d_230;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_row_open <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_cmd_payload_ras <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
+ if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+ litedramcore_bankmachine6_cmd_payload_ras <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine6_trccon_ready) begin
- soc_sdram_bankmachine6_row_open <= 1'd1;
+ if (litedramcore_bankmachine6_trccon_ready) begin
+ litedramcore_bankmachine6_cmd_payload_ras <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_243 = dummy_s;
+ dummy_d_230 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_244;
+reg dummy_d_231;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_row_close <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_cmd_payload_we <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
- soc_sdram_bankmachine6_row_close <= 1'd1;
+ if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+ litedramcore_bankmachine6_cmd_payload_we <= 1'd1;
+ end
end
2'd2: begin
- soc_sdram_bankmachine6_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- soc_sdram_bankmachine6_row_close <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine6_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine6_cmd_payload_we <= 1'd1;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_244 = dummy_s;
+ dummy_d_231 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_245;
+reg dummy_d_232;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
+ if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+ litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine6_trccon_ready) begin
+ litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1;
+ end
end
3'd4: begin
+ litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine6_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine6_row_opened) begin
- if (soc_sdram_bankmachine6_row_hit) begin
- soc_sdram_bankmachine6_cmd_payload_cas <= 1'd1;
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_245 = dummy_s;
+ dummy_d_232 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_246;
+reg dummy_d_233;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine6_state)
+ litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0;
+ case (bankmachine6_state)
1'd1: begin
- if ((soc_sdram_bankmachine6_twtpcon_ready & soc_sdram_bankmachine6_trascon_ready)) begin
- soc_sdram_bankmachine6_cmd_payload_ras <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine6_trccon_ready) begin
- soc_sdram_bankmachine6_cmd_payload_ras <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
+ if (litedramcore_bankmachine6_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine6_row_opened) begin
+ if (litedramcore_bankmachine6_row_hit) begin
+ if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
end
endcase
// synthesis translate_off
- dummy_d_246 = dummy_s;
+ dummy_d_233 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_valid = soc_sdram_bankmachine7_req_valid;
-assign soc_sdram_bankmachine7_req_ready = soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_ready;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we = soc_sdram_bankmachine7_req_we;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = soc_sdram_bankmachine7_req_addr;
-assign soc_sdram_bankmachine7_cmd_buffer_sink_valid = soc_sdram_bankmachine7_cmd_buffer_lookahead_source_valid;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_source_ready = soc_sdram_bankmachine7_cmd_buffer_sink_ready;
-assign soc_sdram_bankmachine7_cmd_buffer_sink_first = soc_sdram_bankmachine7_cmd_buffer_lookahead_source_first;
-assign soc_sdram_bankmachine7_cmd_buffer_sink_last = soc_sdram_bankmachine7_cmd_buffer_lookahead_source_last;
-assign soc_sdram_bankmachine7_cmd_buffer_sink_payload_we = soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we;
-assign soc_sdram_bankmachine7_cmd_buffer_sink_payload_addr = soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
-assign soc_sdram_bankmachine7_cmd_buffer_source_ready = (soc_sdram_bankmachine7_req_wdata_ready | soc_sdram_bankmachine7_req_rdata_valid);
-assign soc_sdram_bankmachine7_req_lock = (soc_sdram_bankmachine7_cmd_buffer_lookahead_source_valid | soc_sdram_bankmachine7_cmd_buffer_source_valid);
-assign soc_sdram_bankmachine7_row_hit = (soc_sdram_bankmachine7_row == soc_sdram_bankmachine7_cmd_buffer_source_payload_addr[21:7]);
-assign soc_sdram_bankmachine7_cmd_payload_ba = 3'd7;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid = litedramcore_bankmachine7_req_valid;
+assign litedramcore_bankmachine7_req_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we = litedramcore_bankmachine7_req_we;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr = litedramcore_bankmachine7_req_addr;
+assign litedramcore_bankmachine7_cmd_buffer_sink_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready = litedramcore_bankmachine7_cmd_buffer_sink_ready;
+assign litedramcore_bankmachine7_cmd_buffer_sink_first = litedramcore_bankmachine7_cmd_buffer_lookahead_source_first;
+assign litedramcore_bankmachine7_cmd_buffer_sink_last = litedramcore_bankmachine7_cmd_buffer_lookahead_source_last;
+assign litedramcore_bankmachine7_cmd_buffer_sink_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we;
+assign litedramcore_bankmachine7_cmd_buffer_sink_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr;
+assign litedramcore_bankmachine7_cmd_buffer_source_ready = (litedramcore_bankmachine7_req_wdata_ready | litedramcore_bankmachine7_req_rdata_valid);
+assign litedramcore_bankmachine7_req_lock = (litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid | litedramcore_bankmachine7_cmd_buffer_source_valid);
+assign litedramcore_bankmachine7_row_hit = (litedramcore_bankmachine7_row == litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7]);
+assign litedramcore_bankmachine7_cmd_payload_ba = 3'd7;
// synthesis translate_off
-reg dummy_d_247;
+reg dummy_d_234;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_a <= 15'd0;
- if (soc_sdram_bankmachine7_row_col_n_addr_sel) begin
- soc_sdram_bankmachine7_cmd_payload_a <= soc_sdram_bankmachine7_cmd_buffer_source_payload_addr[21:7];
+ litedramcore_bankmachine7_cmd_payload_a <= 15'd0;
+ if (litedramcore_bankmachine7_row_col_n_addr_sel) begin
+ litedramcore_bankmachine7_cmd_payload_a <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7];
end else begin
- soc_sdram_bankmachine7_cmd_payload_a <= ((soc_sdram_bankmachine7_auto_precharge <<< 4'd10) | {soc_sdram_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
+ litedramcore_bankmachine7_cmd_payload_a <= ((litedramcore_bankmachine7_auto_precharge <<< 4'd10) | {litedramcore_bankmachine7_cmd_buffer_source_payload_addr[6:0], {3{1'd0}}});
end
// synthesis translate_off
- dummy_d_247 = dummy_s;
+ dummy_d_234 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine7_twtpcon_valid = ((soc_sdram_bankmachine7_cmd_valid & soc_sdram_bankmachine7_cmd_ready) & soc_sdram_bankmachine7_cmd_payload_is_write);
-assign soc_sdram_bankmachine7_trccon_valid = ((soc_sdram_bankmachine7_cmd_valid & soc_sdram_bankmachine7_cmd_ready) & soc_sdram_bankmachine7_row_open);
-assign soc_sdram_bankmachine7_trascon_valid = ((soc_sdram_bankmachine7_cmd_valid & soc_sdram_bankmachine7_cmd_ready) & soc_sdram_bankmachine7_row_open);
+assign litedramcore_bankmachine7_twtpcon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_cmd_payload_is_write);
+assign litedramcore_bankmachine7_trccon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open);
+assign litedramcore_bankmachine7_trascon_valid = ((litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_ready) & litedramcore_bankmachine7_row_open);
// synthesis translate_off
-reg dummy_d_248;
+reg dummy_d_235;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_auto_precharge <= 1'd0;
- if ((soc_sdram_bankmachine7_cmd_buffer_lookahead_source_valid & soc_sdram_bankmachine7_cmd_buffer_source_valid)) begin
- if ((soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr[21:7] != soc_sdram_bankmachine7_cmd_buffer_source_payload_addr[21:7])) begin
- soc_sdram_bankmachine7_auto_precharge <= (soc_sdram_bankmachine7_row_close == 1'd0);
+ litedramcore_bankmachine7_auto_precharge <= 1'd0;
+ if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid & litedramcore_bankmachine7_cmd_buffer_source_valid)) begin
+ if ((litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr[21:7] != litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7])) begin
+ litedramcore_bankmachine7_auto_precharge <= (litedramcore_bankmachine7_row_close == 1'd0);
end
end
// synthesis translate_off
- dummy_d_248 = dummy_s;
+ dummy_d_235 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we};
-assign {soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
-assign {soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
-assign {soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
-assign {soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_ready = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_valid;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_first = soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_first;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_last = soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_last;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = soc_sdram_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_source_valid = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_source_first = soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_source_last = soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_we = soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_source_payload_addr = soc_sdram_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = soc_sdram_bankmachine7_cmd_buffer_lookahead_source_ready;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din = {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we};
+assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
+assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
+assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
+assign {litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr, litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we} = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_sink_ready = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_valid;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_first = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_first;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_last = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_last;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_we;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_in_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_sink_payload_addr;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_valid = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_first = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_first;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_last = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_last;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_we = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_we;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_source_payload_addr = litedramcore_bankmachine7_cmd_buffer_lookahead_fifo_out_payload_addr;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re = litedramcore_bankmachine7_cmd_buffer_lookahead_source_ready;
// synthesis translate_off
-reg dummy_d_249;
+reg dummy_d_236;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0;
- if (soc_sdram_bankmachine7_cmd_buffer_lookahead_replace) begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (soc_sdram_bankmachine7_cmd_buffer_lookahead_produce - 1'd1);
+ litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= 4'd0;
+ if (litedramcore_bankmachine7_cmd_buffer_lookahead_replace) begin
+ litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce - 1'd1);
end else begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr <= soc_sdram_bankmachine7_cmd_buffer_lookahead_produce;
+ litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr <= litedramcore_bankmachine7_cmd_buffer_lookahead_produce;
end
// synthesis translate_off
- dummy_d_249 = dummy_s;
+ dummy_d_236 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_we = (soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | soc_sdram_bankmachine7_cmd_buffer_lookahead_replace));
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_do_read = (soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_re);
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr = soc_sdram_bankmachine7_cmd_buffer_lookahead_consume;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = soc_sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (soc_sdram_bankmachine7_cmd_buffer_lookahead_level != 5'd16);
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (soc_sdram_bankmachine7_cmd_buffer_lookahead_level != 1'd0);
-assign soc_sdram_bankmachine7_cmd_buffer_sink_ready = ((~soc_sdram_bankmachine7_cmd_buffer_source_valid) | soc_sdram_bankmachine7_cmd_buffer_source_ready);
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w = litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_din;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable | litedramcore_bankmachine7_cmd_buffer_lookahead_replace));
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_do_read = (litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_re);
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr = litedramcore_bankmachine7_cmd_buffer_lookahead_consume;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_dout = litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 5'd16);
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_readable = (litedramcore_bankmachine7_cmd_buffer_lookahead_level != 1'd0);
+assign litedramcore_bankmachine7_cmd_buffer_sink_ready = ((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready);
// synthesis translate_off
-reg dummy_d_250;
+reg dummy_d_237;
// synthesis translate_on
always @(*) begin
- vns_bankmachine7_next_state <= 4'd0;
- vns_bankmachine7_next_state <= vns_bankmachine7_state;
- case (vns_bankmachine7_state)
+ bankmachine7_next_state <= 4'd0;
+ bankmachine7_next_state <= bankmachine7_state;
+ case (bankmachine7_state)
1'd1: begin
- if ((soc_sdram_bankmachine7_twtpcon_ready & soc_sdram_bankmachine7_trascon_ready)) begin
- if (soc_sdram_bankmachine7_cmd_ready) begin
- vns_bankmachine7_next_state <= 3'd5;
+ if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+ if (litedramcore_bankmachine7_cmd_ready) begin
+ bankmachine7_next_state <= 3'd5;
end
end
end
2'd2: begin
- if ((soc_sdram_bankmachine7_twtpcon_ready & soc_sdram_bankmachine7_trascon_ready)) begin
- vns_bankmachine7_next_state <= 3'd5;
+ if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+ bankmachine7_next_state <= 3'd5;
end
end
2'd3: begin
- if (soc_sdram_bankmachine7_trccon_ready) begin
- if (soc_sdram_bankmachine7_cmd_ready) begin
- vns_bankmachine7_next_state <= 3'd7;
+ if (litedramcore_bankmachine7_trccon_ready) begin
+ if (litedramcore_bankmachine7_cmd_ready) begin
+ bankmachine7_next_state <= 3'd7;
end
end
end
3'd4: begin
- if ((~soc_sdram_bankmachine7_refresh_req)) begin
- vns_bankmachine7_next_state <= 1'd0;
+ if ((~litedramcore_bankmachine7_refresh_req)) begin
+ bankmachine7_next_state <= 1'd0;
end
end
3'd5: begin
- vns_bankmachine7_next_state <= 3'd6;
+ bankmachine7_next_state <= 3'd6;
end
3'd6: begin
- vns_bankmachine7_next_state <= 2'd3;
+ bankmachine7_next_state <= 2'd3;
end
3'd7: begin
- vns_bankmachine7_next_state <= 4'd8;
+ bankmachine7_next_state <= 4'd8;
end
4'd8: begin
- vns_bankmachine7_next_state <= 1'd0;
+ bankmachine7_next_state <= 1'd0;
end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
- vns_bankmachine7_next_state <= 3'd4;
+ if (litedramcore_bankmachine7_refresh_req) begin
+ bankmachine7_next_state <= 3'd4;
end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- if ((soc_sdram_bankmachine7_cmd_ready & soc_sdram_bankmachine7_auto_precharge)) begin
- vns_bankmachine7_next_state <= 2'd2;
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ if ((litedramcore_bankmachine7_cmd_ready & litedramcore_bankmachine7_auto_precharge)) begin
+ bankmachine7_next_state <= 2'd2;
end
end else begin
- vns_bankmachine7_next_state <= 1'd1;
+ bankmachine7_next_state <= 1'd1;
end
end else begin
- vns_bankmachine7_next_state <= 2'd3;
+ bankmachine7_next_state <= 2'd3;
end
end
end
end
endcase
// synthesis translate_off
- dummy_d_250 = dummy_s;
+ dummy_d_237 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_251;
+reg dummy_d_238;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_we <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
- if ((soc_sdram_bankmachine7_twtpcon_ready & soc_sdram_bankmachine7_trascon_ready)) begin
- soc_sdram_bankmachine7_cmd_payload_we <= 1'd1;
- end
end
2'd2: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
+ if (litedramcore_bankmachine7_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine7_cmd_payload_we <= 1'd1;
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_251 = dummy_s;
+ dummy_d_238 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_252;
+reg dummy_d_239;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_row_col_n_addr_sel <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_req_wdata_ready <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine7_trccon_ready) begin
- soc_sdram_bankmachine7_row_col_n_addr_sel <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_252 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_253;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_is_cmd <= 1'd0;
- case (vns_bankmachine7_state)
- 1'd1: begin
- if ((soc_sdram_bankmachine7_twtpcon_ready & soc_sdram_bankmachine7_trascon_ready)) begin
- soc_sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- if (soc_sdram_bankmachine7_trccon_ready) begin
- soc_sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
+ if (litedramcore_bankmachine7_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine7_req_wdata_ready <= litedramcore_bankmachine7_cmd_ready;
+ end else begin
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
end
end
- 3'd4: begin
- soc_sdram_bankmachine7_cmd_payload_is_cmd <= 1'd1;
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- default: begin
- end
endcase
// synthesis translate_off
- dummy_d_253 = dummy_s;
+ dummy_d_239 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_254;
+reg dummy_d_240;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_is_read <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_req_rdata_valid <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
+ if (litedramcore_bankmachine7_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_payload_we) begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
end else begin
- soc_sdram_bankmachine7_cmd_payload_is_read <= 1'd1;
+ litedramcore_bankmachine7_req_rdata_valid <= litedramcore_bankmachine7_cmd_ready;
end
end else begin
end
end
endcase
// synthesis translate_off
- dummy_d_254 = dummy_s;
+ dummy_d_240 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_255;
+reg dummy_d_241;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_is_write <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_refresh_gnt <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
2'd3: begin
end
3'd4: begin
+ if (litedramcore_bankmachine7_twtpcon_ready) begin
+ litedramcore_bankmachine7_refresh_gnt <= 1'd1;
+ end
end
3'd5: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine7_cmd_payload_is_write <= 1'd1;
- end else begin
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_255 = dummy_s;
+ dummy_d_241 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_256;
+reg dummy_d_242;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_req_wdata_ready <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_cmd_valid <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
+ if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+ litedramcore_bankmachine7_cmd_valid <= 1'd1;
+ end
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine7_trccon_ready) begin
+ litedramcore_bankmachine7_cmd_valid <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
+ if (litedramcore_bankmachine7_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_payload_we) begin
- soc_sdram_bankmachine7_req_wdata_ready <= soc_sdram_bankmachine7_cmd_ready;
- end else begin
- end
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ litedramcore_bankmachine7_cmd_valid <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_256 = dummy_s;
+ dummy_d_242 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_257;
+reg dummy_d_243;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_req_rdata_valid <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_row_open <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
+ if (litedramcore_bankmachine7_trccon_ready) begin
+ litedramcore_bankmachine7_row_open <= 1'd1;
+ end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
- end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_payload_we) begin
- end else begin
- soc_sdram_bankmachine7_req_rdata_valid <= soc_sdram_bankmachine7_cmd_ready;
- end
- end else begin
- end
- end else begin
- end
- end
- end
end
endcase
// synthesis translate_off
- dummy_d_257 = dummy_s;
+ dummy_d_243 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_258;
+reg dummy_d_244;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_refresh_gnt <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_row_close <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
+ litedramcore_bankmachine7_row_close <= 1'd1;
end
2'd2: begin
+ litedramcore_bankmachine7_row_close <= 1'd1;
end
2'd3: begin
end
3'd4: begin
- if (soc_sdram_bankmachine7_twtpcon_ready) begin
- soc_sdram_bankmachine7_refresh_gnt <= 1'd1;
- end
+ litedramcore_bankmachine7_row_close <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_258 = dummy_s;
+ dummy_d_244 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_259;
+reg dummy_d_245;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_valid <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_cmd_payload_cas <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
- if ((soc_sdram_bankmachine7_twtpcon_ready & soc_sdram_bankmachine7_trascon_ready)) begin
- soc_sdram_bankmachine7_cmd_valid <= 1'd1;
- end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine7_trccon_ready) begin
- soc_sdram_bankmachine7_cmd_valid <= 1'd1;
- end
end
3'd4: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
+ if (litedramcore_bankmachine7_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- soc_sdram_bankmachine7_cmd_valid <= 1'd1;
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ litedramcore_bankmachine7_cmd_payload_cas <= 1'd1;
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_259 = dummy_s;
+ dummy_d_245 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_260;
+reg dummy_d_246;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_row_open <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine7_trccon_ready) begin
- soc_sdram_bankmachine7_row_open <= 1'd1;
+ if (litedramcore_bankmachine7_trccon_ready) begin
+ litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1;
end
end
3'd4: begin
end
endcase
// synthesis translate_off
- dummy_d_260 = dummy_s;
+ dummy_d_246 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_261;
+reg dummy_d_247;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_row_close <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_cmd_payload_ras <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
- soc_sdram_bankmachine7_row_close <= 1'd1;
+ if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+ litedramcore_bankmachine7_cmd_payload_ras <= 1'd1;
+ end
end
2'd2: begin
- soc_sdram_bankmachine7_row_close <= 1'd1;
end
2'd3: begin
+ if (litedramcore_bankmachine7_trccon_ready) begin
+ litedramcore_bankmachine7_cmd_payload_ras <= 1'd1;
+ end
end
3'd4: begin
- soc_sdram_bankmachine7_row_close <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_261 = dummy_s;
+ dummy_d_247 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_262;
+reg dummy_d_248;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_cas <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_cmd_payload_we <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
+ if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+ litedramcore_bankmachine7_cmd_payload_we <= 1'd1;
+ end
end
2'd2: begin
end
4'd8: begin
end
default: begin
- if (soc_sdram_bankmachine7_refresh_req) begin
+ if (litedramcore_bankmachine7_refresh_req) begin
end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_source_valid) begin
- if (soc_sdram_bankmachine7_row_opened) begin
- if (soc_sdram_bankmachine7_row_hit) begin
- soc_sdram_bankmachine7_cmd_payload_cas <= 1'd1;
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
+ litedramcore_bankmachine7_cmd_payload_we <= 1'd1;
+ end else begin
+ end
end else begin
end
end else begin
end
endcase
// synthesis translate_off
- dummy_d_262 = dummy_s;
+ dummy_d_248 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_263;
+reg dummy_d_249;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_payload_ras <= 1'd0;
- case (vns_bankmachine7_state)
+ litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0;
+ case (bankmachine7_state)
1'd1: begin
- if ((soc_sdram_bankmachine7_twtpcon_ready & soc_sdram_bankmachine7_trascon_ready)) begin
- soc_sdram_bankmachine7_cmd_payload_ras <= 1'd1;
+ if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+ litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1;
end
end
2'd2: begin
end
2'd3: begin
- if (soc_sdram_bankmachine7_trccon_ready) begin
- soc_sdram_bankmachine7_cmd_payload_ras <= 1'd1;
+ if (litedramcore_bankmachine7_trccon_ready) begin
+ litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1;
end
end
3'd4: begin
+ litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1;
end
3'd5: begin
end
end
endcase
// synthesis translate_off
- dummy_d_263 = dummy_s;
+ dummy_d_249 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_trrdcon_valid = ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & ((soc_sdram_choose_cmd_cmd_payload_ras & (~soc_sdram_choose_cmd_cmd_payload_cas)) & (~soc_sdram_choose_cmd_cmd_payload_we)));
-assign soc_sdram_tfawcon_valid = ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & ((soc_sdram_choose_cmd_cmd_payload_ras & (~soc_sdram_choose_cmd_cmd_payload_cas)) & (~soc_sdram_choose_cmd_cmd_payload_we)));
-assign soc_sdram_ras_allowed = (soc_sdram_trrdcon_ready & soc_sdram_tfawcon_ready);
-assign soc_sdram_tccdcon_valid = ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_cmd_payload_is_write | soc_sdram_choose_req_cmd_payload_is_read));
-assign soc_sdram_cas_allowed = soc_sdram_tccdcon_ready;
-assign soc_sdram_twtrcon_valid = ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_write);
-assign soc_sdram_read_available = ((((((((soc_sdram_bankmachine0_cmd_valid & soc_sdram_bankmachine0_cmd_payload_is_read) | (soc_sdram_bankmachine1_cmd_valid & soc_sdram_bankmachine1_cmd_payload_is_read)) | (soc_sdram_bankmachine2_cmd_valid & soc_sdram_bankmachine2_cmd_payload_is_read)) | (soc_sdram_bankmachine3_cmd_valid & soc_sdram_bankmachine3_cmd_payload_is_read)) | (soc_sdram_bankmachine4_cmd_valid & soc_sdram_bankmachine4_cmd_payload_is_read)) | (soc_sdram_bankmachine5_cmd_valid & soc_sdram_bankmachine5_cmd_payload_is_read)) | (soc_sdram_bankmachine6_cmd_valid & soc_sdram_bankmachine6_cmd_payload_is_read)) | (soc_sdram_bankmachine7_cmd_valid & soc_sdram_bankmachine7_cmd_payload_is_read));
-assign soc_sdram_write_available = ((((((((soc_sdram_bankmachine0_cmd_valid & soc_sdram_bankmachine0_cmd_payload_is_write) | (soc_sdram_bankmachine1_cmd_valid & soc_sdram_bankmachine1_cmd_payload_is_write)) | (soc_sdram_bankmachine2_cmd_valid & soc_sdram_bankmachine2_cmd_payload_is_write)) | (soc_sdram_bankmachine3_cmd_valid & soc_sdram_bankmachine3_cmd_payload_is_write)) | (soc_sdram_bankmachine4_cmd_valid & soc_sdram_bankmachine4_cmd_payload_is_write)) | (soc_sdram_bankmachine5_cmd_valid & soc_sdram_bankmachine5_cmd_payload_is_write)) | (soc_sdram_bankmachine6_cmd_valid & soc_sdram_bankmachine6_cmd_payload_is_write)) | (soc_sdram_bankmachine7_cmd_valid & soc_sdram_bankmachine7_cmd_payload_is_write));
-assign soc_sdram_max_time0 = (soc_sdram_time0 == 1'd0);
-assign soc_sdram_max_time1 = (soc_sdram_time1 == 1'd0);
-assign soc_sdram_bankmachine0_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine1_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine2_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine3_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine4_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine5_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine6_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_bankmachine7_refresh_req = soc_sdram_cmd_valid;
-assign soc_sdram_go_to_refresh = (((((((soc_sdram_bankmachine0_refresh_gnt & soc_sdram_bankmachine1_refresh_gnt) & soc_sdram_bankmachine2_refresh_gnt) & soc_sdram_bankmachine3_refresh_gnt) & soc_sdram_bankmachine4_refresh_gnt) & soc_sdram_bankmachine5_refresh_gnt) & soc_sdram_bankmachine6_refresh_gnt) & soc_sdram_bankmachine7_refresh_gnt);
-assign soc_sdram_interface_rdata = {soc_sdram_dfi_p3_rddata, soc_sdram_dfi_p2_rddata, soc_sdram_dfi_p1_rddata, soc_sdram_dfi_p0_rddata};
-assign {soc_sdram_dfi_p3_wrdata, soc_sdram_dfi_p2_wrdata, soc_sdram_dfi_p1_wrdata, soc_sdram_dfi_p0_wrdata} = soc_sdram_interface_wdata;
-assign {soc_sdram_dfi_p3_wrdata, soc_sdram_dfi_p2_wrdata, soc_sdram_dfi_p1_wrdata, soc_sdram_dfi_p0_wrdata} = soc_sdram_interface_wdata;
-assign {soc_sdram_dfi_p3_wrdata, soc_sdram_dfi_p2_wrdata, soc_sdram_dfi_p1_wrdata, soc_sdram_dfi_p0_wrdata} = soc_sdram_interface_wdata;
-assign {soc_sdram_dfi_p3_wrdata, soc_sdram_dfi_p2_wrdata, soc_sdram_dfi_p1_wrdata, soc_sdram_dfi_p0_wrdata} = soc_sdram_interface_wdata;
-assign {soc_sdram_dfi_p3_wrdata_mask, soc_sdram_dfi_p2_wrdata_mask, soc_sdram_dfi_p1_wrdata_mask, soc_sdram_dfi_p0_wrdata_mask} = (~soc_sdram_interface_wdata_we);
-assign {soc_sdram_dfi_p3_wrdata_mask, soc_sdram_dfi_p2_wrdata_mask, soc_sdram_dfi_p1_wrdata_mask, soc_sdram_dfi_p0_wrdata_mask} = (~soc_sdram_interface_wdata_we);
-assign {soc_sdram_dfi_p3_wrdata_mask, soc_sdram_dfi_p2_wrdata_mask, soc_sdram_dfi_p1_wrdata_mask, soc_sdram_dfi_p0_wrdata_mask} = (~soc_sdram_interface_wdata_we);
-assign {soc_sdram_dfi_p3_wrdata_mask, soc_sdram_dfi_p2_wrdata_mask, soc_sdram_dfi_p1_wrdata_mask, soc_sdram_dfi_p0_wrdata_mask} = (~soc_sdram_interface_wdata_we);
// synthesis translate_off
-reg dummy_d_264;
+reg dummy_d_250;
// synthesis translate_on
always @(*) begin
- soc_sdram_choose_cmd_valids <= 8'd0;
- soc_sdram_choose_cmd_valids[0] <= (soc_sdram_bankmachine0_cmd_valid & (((soc_sdram_bankmachine0_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine0_cmd_payload_ras & (~soc_sdram_bankmachine0_cmd_payload_cas)) & (~soc_sdram_bankmachine0_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine0_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine0_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[1] <= (soc_sdram_bankmachine1_cmd_valid & (((soc_sdram_bankmachine1_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine1_cmd_payload_ras & (~soc_sdram_bankmachine1_cmd_payload_cas)) & (~soc_sdram_bankmachine1_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine1_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine1_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[2] <= (soc_sdram_bankmachine2_cmd_valid & (((soc_sdram_bankmachine2_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine2_cmd_payload_ras & (~soc_sdram_bankmachine2_cmd_payload_cas)) & (~soc_sdram_bankmachine2_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine2_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine2_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[3] <= (soc_sdram_bankmachine3_cmd_valid & (((soc_sdram_bankmachine3_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine3_cmd_payload_ras & (~soc_sdram_bankmachine3_cmd_payload_cas)) & (~soc_sdram_bankmachine3_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine3_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine3_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[4] <= (soc_sdram_bankmachine4_cmd_valid & (((soc_sdram_bankmachine4_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine4_cmd_payload_ras & (~soc_sdram_bankmachine4_cmd_payload_cas)) & (~soc_sdram_bankmachine4_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine4_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine4_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[5] <= (soc_sdram_bankmachine5_cmd_valid & (((soc_sdram_bankmachine5_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine5_cmd_payload_ras & (~soc_sdram_bankmachine5_cmd_payload_cas)) & (~soc_sdram_bankmachine5_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine5_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine5_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[6] <= (soc_sdram_bankmachine6_cmd_valid & (((soc_sdram_bankmachine6_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine6_cmd_payload_ras & (~soc_sdram_bankmachine6_cmd_payload_cas)) & (~soc_sdram_bankmachine6_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine6_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine6_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
- soc_sdram_choose_cmd_valids[7] <= (soc_sdram_bankmachine7_cmd_valid & (((soc_sdram_bankmachine7_cmd_payload_is_cmd & soc_sdram_choose_cmd_want_cmds) & ((~((soc_sdram_bankmachine7_cmd_payload_ras & (~soc_sdram_bankmachine7_cmd_payload_cas)) & (~soc_sdram_bankmachine7_cmd_payload_we))) | soc_sdram_choose_cmd_want_activates)) | ((soc_sdram_bankmachine7_cmd_payload_is_read == soc_sdram_choose_cmd_want_reads) & (soc_sdram_bankmachine7_cmd_payload_is_write == soc_sdram_choose_cmd_want_writes))));
+ litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0;
+ case (bankmachine7_state)
+ 1'd1: begin
+ end
+ 2'd2: begin
+ end
+ 2'd3: begin
+ end
+ 3'd4: begin
+ end
+ 3'd5: begin
+ end
+ 3'd6: begin
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ default: begin
+ if (litedramcore_bankmachine7_refresh_req) begin
+ end else begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+ if (litedramcore_bankmachine7_row_opened) begin
+ if (litedramcore_bankmachine7_row_hit) begin
+ if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
+ end else begin
+ litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1;
+ end
+ end else begin
+ end
+ end else begin
+ end
+ end
+ end
+ end
+ endcase
// synthesis translate_off
- dummy_d_264 = dummy_s;
+ dummy_d_250 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_choose_cmd_request = soc_sdram_choose_cmd_valids;
-assign soc_sdram_choose_cmd_cmd_valid = vns_rhs_array_muxed0;
-assign soc_sdram_choose_cmd_cmd_payload_a = vns_rhs_array_muxed1;
-assign soc_sdram_choose_cmd_cmd_payload_ba = vns_rhs_array_muxed2;
-assign soc_sdram_choose_cmd_cmd_payload_is_read = vns_rhs_array_muxed3;
-assign soc_sdram_choose_cmd_cmd_payload_is_write = vns_rhs_array_muxed4;
-assign soc_sdram_choose_cmd_cmd_payload_is_cmd = vns_rhs_array_muxed5;
+assign litedramcore_trrdcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we)));
+assign litedramcore_tfawcon_valid = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & ((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we)));
+assign litedramcore_ras_allowed = (litedramcore_trrdcon_ready & litedramcore_tfawcon_ready);
+assign litedramcore_tccdcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_cmd_payload_is_write | litedramcore_choose_req_cmd_payload_is_read));
+assign litedramcore_cas_allowed = litedramcore_tccdcon_ready;
+assign litedramcore_twtrcon_valid = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
+assign litedramcore_read_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_read) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_read)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_read)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_read)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_read)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_read)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_read)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_read));
+assign litedramcore_write_available = ((((((((litedramcore_bankmachine0_cmd_valid & litedramcore_bankmachine0_cmd_payload_is_write) | (litedramcore_bankmachine1_cmd_valid & litedramcore_bankmachine1_cmd_payload_is_write)) | (litedramcore_bankmachine2_cmd_valid & litedramcore_bankmachine2_cmd_payload_is_write)) | (litedramcore_bankmachine3_cmd_valid & litedramcore_bankmachine3_cmd_payload_is_write)) | (litedramcore_bankmachine4_cmd_valid & litedramcore_bankmachine4_cmd_payload_is_write)) | (litedramcore_bankmachine5_cmd_valid & litedramcore_bankmachine5_cmd_payload_is_write)) | (litedramcore_bankmachine6_cmd_valid & litedramcore_bankmachine6_cmd_payload_is_write)) | (litedramcore_bankmachine7_cmd_valid & litedramcore_bankmachine7_cmd_payload_is_write));
+assign litedramcore_max_time0 = (litedramcore_time0 == 1'd0);
+assign litedramcore_max_time1 = (litedramcore_time1 == 1'd0);
+assign litedramcore_bankmachine0_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine1_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine2_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine3_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine4_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine5_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine6_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_bankmachine7_refresh_req = litedramcore_cmd_valid;
+assign litedramcore_go_to_refresh = (((((((litedramcore_bankmachine0_refresh_gnt & litedramcore_bankmachine1_refresh_gnt) & litedramcore_bankmachine2_refresh_gnt) & litedramcore_bankmachine3_refresh_gnt) & litedramcore_bankmachine4_refresh_gnt) & litedramcore_bankmachine5_refresh_gnt) & litedramcore_bankmachine6_refresh_gnt) & litedramcore_bankmachine7_refresh_gnt);
+assign litedramcore_interface_rdata = {litedramcore_dfi_p3_rddata, litedramcore_dfi_p2_rddata, litedramcore_dfi_p1_rddata, litedramcore_dfi_p0_rddata};
+assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata;
+assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata;
+assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata;
+assign {litedramcore_dfi_p3_wrdata, litedramcore_dfi_p2_wrdata, litedramcore_dfi_p1_wrdata, litedramcore_dfi_p0_wrdata} = litedramcore_interface_wdata;
+assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we);
+assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we);
+assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we);
+assign {litedramcore_dfi_p3_wrdata_mask, litedramcore_dfi_p2_wrdata_mask, litedramcore_dfi_p1_wrdata_mask, litedramcore_dfi_p0_wrdata_mask} = (~litedramcore_interface_wdata_we);
// synthesis translate_off
-reg dummy_d_265;
+reg dummy_d_251;
// synthesis translate_on
always @(*) begin
- soc_sdram_choose_cmd_cmd_payload_cas <= 1'd0;
- if (soc_sdram_choose_cmd_cmd_valid) begin
- soc_sdram_choose_cmd_cmd_payload_cas <= vns_t_array_muxed0;
- end
+ litedramcore_choose_cmd_valids <= 8'd0;
+ litedramcore_choose_cmd_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
+ litedramcore_choose_cmd_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
// synthesis translate_off
- dummy_d_265 = dummy_s;
+ dummy_d_251 = dummy_s;
// synthesis translate_on
end
+assign litedramcore_choose_cmd_request = litedramcore_choose_cmd_valids;
+assign litedramcore_choose_cmd_cmd_valid = rhs_array_muxed0;
+assign litedramcore_choose_cmd_cmd_payload_a = rhs_array_muxed1;
+assign litedramcore_choose_cmd_cmd_payload_ba = rhs_array_muxed2;
+assign litedramcore_choose_cmd_cmd_payload_is_read = rhs_array_muxed3;
+assign litedramcore_choose_cmd_cmd_payload_is_write = rhs_array_muxed4;
+assign litedramcore_choose_cmd_cmd_payload_is_cmd = rhs_array_muxed5;
// synthesis translate_off
-reg dummy_d_266;
+reg dummy_d_252;
// synthesis translate_on
always @(*) begin
- soc_sdram_choose_cmd_cmd_payload_ras <= 1'd0;
- if (soc_sdram_choose_cmd_cmd_valid) begin
- soc_sdram_choose_cmd_cmd_payload_ras <= vns_t_array_muxed1;
+ litedramcore_choose_cmd_cmd_payload_cas <= 1'd0;
+ if (litedramcore_choose_cmd_cmd_valid) begin
+ litedramcore_choose_cmd_cmd_payload_cas <= t_array_muxed0;
end
// synthesis translate_off
- dummy_d_266 = dummy_s;
+ dummy_d_252 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_267;
+reg dummy_d_253;
// synthesis translate_on
always @(*) begin
- soc_sdram_choose_cmd_cmd_payload_we <= 1'd0;
- if (soc_sdram_choose_cmd_cmd_valid) begin
- soc_sdram_choose_cmd_cmd_payload_we <= vns_t_array_muxed2;
+ litedramcore_choose_cmd_cmd_payload_ras <= 1'd0;
+ if (litedramcore_choose_cmd_cmd_valid) begin
+ litedramcore_choose_cmd_cmd_payload_ras <= t_array_muxed1;
end
// synthesis translate_off
- dummy_d_267 = dummy_s;
+ dummy_d_253 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_268;
+reg dummy_d_254;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_choose_cmd_cmd_payload_we <= 1'd0;
+ if (litedramcore_choose_cmd_cmd_valid) begin
+ litedramcore_choose_cmd_cmd_payload_we <= t_array_muxed2;
+ end
+// synthesis translate_off
+ dummy_d_254 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_255;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine0_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 1'd0))) begin
- soc_sdram_bankmachine0_cmd_ready <= 1'd1;
+ litedramcore_bankmachine0_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd0))) begin
+ litedramcore_bankmachine0_cmd_ready <= 1'd1;
end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 1'd0))) begin
- soc_sdram_bankmachine0_cmd_ready <= 1'd1;
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd0))) begin
+ litedramcore_bankmachine0_cmd_ready <= 1'd1;
end
// synthesis translate_off
- dummy_d_268 = dummy_s;
+ dummy_d_255 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_269;
+reg dummy_d_256;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine1_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 1'd1))) begin
- soc_sdram_bankmachine1_cmd_ready <= 1'd1;
+ litedramcore_bankmachine1_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 1'd1))) begin
+ litedramcore_bankmachine1_cmd_ready <= 1'd1;
end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 1'd1))) begin
- soc_sdram_bankmachine1_cmd_ready <= 1'd1;
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 1'd1))) begin
+ litedramcore_bankmachine1_cmd_ready <= 1'd1;
end
// synthesis translate_off
- dummy_d_269 = dummy_s;
+ dummy_d_256 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_270;
+reg dummy_d_257;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine2_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 2'd2))) begin
- soc_sdram_bankmachine2_cmd_ready <= 1'd1;
+ litedramcore_bankmachine2_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd2))) begin
+ litedramcore_bankmachine2_cmd_ready <= 1'd1;
end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 2'd2))) begin
- soc_sdram_bankmachine2_cmd_ready <= 1'd1;
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd2))) begin
+ litedramcore_bankmachine2_cmd_ready <= 1'd1;
end
// synthesis translate_off
- dummy_d_270 = dummy_s;
+ dummy_d_257 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_271;
+reg dummy_d_258;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine3_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 2'd3))) begin
- soc_sdram_bankmachine3_cmd_ready <= 1'd1;
+ litedramcore_bankmachine3_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 2'd3))) begin
+ litedramcore_bankmachine3_cmd_ready <= 1'd1;
end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 2'd3))) begin
- soc_sdram_bankmachine3_cmd_ready <= 1'd1;
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 2'd3))) begin
+ litedramcore_bankmachine3_cmd_ready <= 1'd1;
end
// synthesis translate_off
- dummy_d_271 = dummy_s;
+ dummy_d_258 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_272;
+reg dummy_d_259;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine4_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 3'd4))) begin
- soc_sdram_bankmachine4_cmd_ready <= 1'd1;
+ litedramcore_bankmachine4_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd4))) begin
+ litedramcore_bankmachine4_cmd_ready <= 1'd1;
end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 3'd4))) begin
- soc_sdram_bankmachine4_cmd_ready <= 1'd1;
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd4))) begin
+ litedramcore_bankmachine4_cmd_ready <= 1'd1;
end
// synthesis translate_off
- dummy_d_272 = dummy_s;
+ dummy_d_259 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_273;
+reg dummy_d_260;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine5_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 3'd5))) begin
- soc_sdram_bankmachine5_cmd_ready <= 1'd1;
+ litedramcore_bankmachine5_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd5))) begin
+ litedramcore_bankmachine5_cmd_ready <= 1'd1;
end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 3'd5))) begin
- soc_sdram_bankmachine5_cmd_ready <= 1'd1;
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd5))) begin
+ litedramcore_bankmachine5_cmd_ready <= 1'd1;
end
// synthesis translate_off
- dummy_d_273 = dummy_s;
+ dummy_d_260 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_274;
+reg dummy_d_261;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine6_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 3'd6))) begin
- soc_sdram_bankmachine6_cmd_ready <= 1'd1;
+ litedramcore_bankmachine6_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd6))) begin
+ litedramcore_bankmachine6_cmd_ready <= 1'd1;
end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 3'd6))) begin
- soc_sdram_bankmachine6_cmd_ready <= 1'd1;
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd6))) begin
+ litedramcore_bankmachine6_cmd_ready <= 1'd1;
end
// synthesis translate_off
- dummy_d_274 = dummy_s;
+ dummy_d_261 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_275;
+reg dummy_d_262;
// synthesis translate_on
always @(*) begin
- soc_sdram_bankmachine7_cmd_ready <= 1'd0;
- if (((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & (soc_sdram_choose_cmd_grant == 3'd7))) begin
- soc_sdram_bankmachine7_cmd_ready <= 1'd1;
+ litedramcore_bankmachine7_cmd_ready <= 1'd0;
+ if (((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & (litedramcore_choose_cmd_grant == 3'd7))) begin
+ litedramcore_bankmachine7_cmd_ready <= 1'd1;
end
- if (((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & (soc_sdram_choose_req_grant == 3'd7))) begin
- soc_sdram_bankmachine7_cmd_ready <= 1'd1;
+ if (((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & (litedramcore_choose_req_grant == 3'd7))) begin
+ litedramcore_bankmachine7_cmd_ready <= 1'd1;
end
// synthesis translate_off
- dummy_d_275 = dummy_s;
+ dummy_d_262 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_choose_cmd_ce = (soc_sdram_choose_cmd_cmd_ready | (~soc_sdram_choose_cmd_cmd_valid));
+assign litedramcore_choose_cmd_ce = (litedramcore_choose_cmd_cmd_ready | (~litedramcore_choose_cmd_cmd_valid));
// synthesis translate_off
-reg dummy_d_276;
+reg dummy_d_263;
// synthesis translate_on
always @(*) begin
- soc_sdram_choose_req_valids <= 8'd0;
- soc_sdram_choose_req_valids[0] <= (soc_sdram_bankmachine0_cmd_valid & (((soc_sdram_bankmachine0_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine0_cmd_payload_ras & (~soc_sdram_bankmachine0_cmd_payload_cas)) & (~soc_sdram_bankmachine0_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine0_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine0_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[1] <= (soc_sdram_bankmachine1_cmd_valid & (((soc_sdram_bankmachine1_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine1_cmd_payload_ras & (~soc_sdram_bankmachine1_cmd_payload_cas)) & (~soc_sdram_bankmachine1_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine1_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine1_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[2] <= (soc_sdram_bankmachine2_cmd_valid & (((soc_sdram_bankmachine2_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine2_cmd_payload_ras & (~soc_sdram_bankmachine2_cmd_payload_cas)) & (~soc_sdram_bankmachine2_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine2_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine2_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[3] <= (soc_sdram_bankmachine3_cmd_valid & (((soc_sdram_bankmachine3_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine3_cmd_payload_ras & (~soc_sdram_bankmachine3_cmd_payload_cas)) & (~soc_sdram_bankmachine3_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine3_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine3_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[4] <= (soc_sdram_bankmachine4_cmd_valid & (((soc_sdram_bankmachine4_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine4_cmd_payload_ras & (~soc_sdram_bankmachine4_cmd_payload_cas)) & (~soc_sdram_bankmachine4_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine4_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine4_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[5] <= (soc_sdram_bankmachine5_cmd_valid & (((soc_sdram_bankmachine5_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine5_cmd_payload_ras & (~soc_sdram_bankmachine5_cmd_payload_cas)) & (~soc_sdram_bankmachine5_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine5_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine5_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[6] <= (soc_sdram_bankmachine6_cmd_valid & (((soc_sdram_bankmachine6_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine6_cmd_payload_ras & (~soc_sdram_bankmachine6_cmd_payload_cas)) & (~soc_sdram_bankmachine6_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine6_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine6_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
- soc_sdram_choose_req_valids[7] <= (soc_sdram_bankmachine7_cmd_valid & (((soc_sdram_bankmachine7_cmd_payload_is_cmd & soc_sdram_choose_req_want_cmds) & ((~((soc_sdram_bankmachine7_cmd_payload_ras & (~soc_sdram_bankmachine7_cmd_payload_cas)) & (~soc_sdram_bankmachine7_cmd_payload_we))) | soc_sdram_choose_req_want_activates)) | ((soc_sdram_bankmachine7_cmd_payload_is_read == soc_sdram_choose_req_want_reads) & (soc_sdram_bankmachine7_cmd_payload_is_write == soc_sdram_choose_req_want_writes))));
+ litedramcore_choose_req_valids <= 8'd0;
+ litedramcore_choose_req_valids[0] <= (litedramcore_bankmachine0_cmd_valid & (((litedramcore_bankmachine0_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine0_cmd_payload_ras & (~litedramcore_bankmachine0_cmd_payload_cas)) & (~litedramcore_bankmachine0_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine0_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine0_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[1] <= (litedramcore_bankmachine1_cmd_valid & (((litedramcore_bankmachine1_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine1_cmd_payload_ras & (~litedramcore_bankmachine1_cmd_payload_cas)) & (~litedramcore_bankmachine1_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine1_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine1_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[2] <= (litedramcore_bankmachine2_cmd_valid & (((litedramcore_bankmachine2_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine2_cmd_payload_ras & (~litedramcore_bankmachine2_cmd_payload_cas)) & (~litedramcore_bankmachine2_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine2_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine2_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[3] <= (litedramcore_bankmachine3_cmd_valid & (((litedramcore_bankmachine3_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine3_cmd_payload_ras & (~litedramcore_bankmachine3_cmd_payload_cas)) & (~litedramcore_bankmachine3_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine3_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine3_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[4] <= (litedramcore_bankmachine4_cmd_valid & (((litedramcore_bankmachine4_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine4_cmd_payload_ras & (~litedramcore_bankmachine4_cmd_payload_cas)) & (~litedramcore_bankmachine4_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine4_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine4_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[5] <= (litedramcore_bankmachine5_cmd_valid & (((litedramcore_bankmachine5_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine5_cmd_payload_ras & (~litedramcore_bankmachine5_cmd_payload_cas)) & (~litedramcore_bankmachine5_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine5_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine5_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[6] <= (litedramcore_bankmachine6_cmd_valid & (((litedramcore_bankmachine6_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine6_cmd_payload_ras & (~litedramcore_bankmachine6_cmd_payload_cas)) & (~litedramcore_bankmachine6_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine6_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine6_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
+ litedramcore_choose_req_valids[7] <= (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
// synthesis translate_off
- dummy_d_276 = dummy_s;
+ dummy_d_263 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_choose_req_request = soc_sdram_choose_req_valids;
-assign soc_sdram_choose_req_cmd_valid = vns_rhs_array_muxed6;
-assign soc_sdram_choose_req_cmd_payload_a = vns_rhs_array_muxed7;
-assign soc_sdram_choose_req_cmd_payload_ba = vns_rhs_array_muxed8;
-assign soc_sdram_choose_req_cmd_payload_is_read = vns_rhs_array_muxed9;
-assign soc_sdram_choose_req_cmd_payload_is_write = vns_rhs_array_muxed10;
-assign soc_sdram_choose_req_cmd_payload_is_cmd = vns_rhs_array_muxed11;
+assign litedramcore_choose_req_request = litedramcore_choose_req_valids;
+assign litedramcore_choose_req_cmd_valid = rhs_array_muxed6;
+assign litedramcore_choose_req_cmd_payload_a = rhs_array_muxed7;
+assign litedramcore_choose_req_cmd_payload_ba = rhs_array_muxed8;
+assign litedramcore_choose_req_cmd_payload_is_read = rhs_array_muxed9;
+assign litedramcore_choose_req_cmd_payload_is_write = rhs_array_muxed10;
+assign litedramcore_choose_req_cmd_payload_is_cmd = rhs_array_muxed11;
// synthesis translate_off
-reg dummy_d_277;
+reg dummy_d_264;
// synthesis translate_on
always @(*) begin
- soc_sdram_choose_req_cmd_payload_cas <= 1'd0;
- if (soc_sdram_choose_req_cmd_valid) begin
- soc_sdram_choose_req_cmd_payload_cas <= vns_t_array_muxed3;
+ litedramcore_choose_req_cmd_payload_cas <= 1'd0;
+ if (litedramcore_choose_req_cmd_valid) begin
+ litedramcore_choose_req_cmd_payload_cas <= t_array_muxed3;
end
// synthesis translate_off
- dummy_d_277 = dummy_s;
+ dummy_d_264 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_278;
+reg dummy_d_265;
// synthesis translate_on
always @(*) begin
- soc_sdram_choose_req_cmd_payload_ras <= 1'd0;
- if (soc_sdram_choose_req_cmd_valid) begin
- soc_sdram_choose_req_cmd_payload_ras <= vns_t_array_muxed4;
+ litedramcore_choose_req_cmd_payload_ras <= 1'd0;
+ if (litedramcore_choose_req_cmd_valid) begin
+ litedramcore_choose_req_cmd_payload_ras <= t_array_muxed4;
end
// synthesis translate_off
- dummy_d_278 = dummy_s;
+ dummy_d_265 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_279;
+reg dummy_d_266;
// synthesis translate_on
always @(*) begin
- soc_sdram_choose_req_cmd_payload_we <= 1'd0;
- if (soc_sdram_choose_req_cmd_valid) begin
- soc_sdram_choose_req_cmd_payload_we <= vns_t_array_muxed5;
+ litedramcore_choose_req_cmd_payload_we <= 1'd0;
+ if (litedramcore_choose_req_cmd_valid) begin
+ litedramcore_choose_req_cmd_payload_we <= t_array_muxed5;
end
// synthesis translate_off
- dummy_d_279 = dummy_s;
+ dummy_d_266 = dummy_s;
// synthesis translate_on
end
-assign soc_sdram_choose_req_ce = (soc_sdram_choose_req_cmd_ready | (~soc_sdram_choose_req_cmd_valid));
-assign soc_sdram_dfi_p0_reset_n = 1'd1;
-assign soc_sdram_dfi_p0_cke = {1{soc_sdram_steerer0}};
-assign soc_sdram_dfi_p0_odt = {1{soc_sdram_steerer1}};
-assign soc_sdram_dfi_p1_reset_n = 1'd1;
-assign soc_sdram_dfi_p1_cke = {1{soc_sdram_steerer2}};
-assign soc_sdram_dfi_p1_odt = {1{soc_sdram_steerer3}};
-assign soc_sdram_dfi_p2_reset_n = 1'd1;
-assign soc_sdram_dfi_p2_cke = {1{soc_sdram_steerer4}};
-assign soc_sdram_dfi_p2_odt = {1{soc_sdram_steerer5}};
-assign soc_sdram_dfi_p3_reset_n = 1'd1;
-assign soc_sdram_dfi_p3_cke = {1{soc_sdram_steerer6}};
-assign soc_sdram_dfi_p3_odt = {1{soc_sdram_steerer7}};
-assign soc_sdram_tfawcon_count = ((((soc_sdram_tfawcon_window[0] + soc_sdram_tfawcon_window[1]) + soc_sdram_tfawcon_window[2]) + soc_sdram_tfawcon_window[3]) + soc_sdram_tfawcon_window[4]);
+assign litedramcore_choose_req_ce = (litedramcore_choose_req_cmd_ready | (~litedramcore_choose_req_cmd_valid));
+assign litedramcore_dfi_p0_reset_n = 1'd1;
+assign litedramcore_dfi_p0_cke = {1{litedramcore_steerer0}};
+assign litedramcore_dfi_p0_odt = {1{litedramcore_steerer1}};
+assign litedramcore_dfi_p1_reset_n = 1'd1;
+assign litedramcore_dfi_p1_cke = {1{litedramcore_steerer2}};
+assign litedramcore_dfi_p1_odt = {1{litedramcore_steerer3}};
+assign litedramcore_dfi_p2_reset_n = 1'd1;
+assign litedramcore_dfi_p2_cke = {1{litedramcore_steerer4}};
+assign litedramcore_dfi_p2_odt = {1{litedramcore_steerer5}};
+assign litedramcore_dfi_p3_reset_n = 1'd1;
+assign litedramcore_dfi_p3_cke = {1{litedramcore_steerer6}};
+assign litedramcore_dfi_p3_odt = {1{litedramcore_steerer7}};
+assign litedramcore_tfawcon_count = ((((litedramcore_tfawcon_window[0] + litedramcore_tfawcon_window[1]) + litedramcore_tfawcon_window[2]) + litedramcore_tfawcon_window[3]) + litedramcore_tfawcon_window[4]);
// synthesis translate_off
-reg dummy_d_280;
+reg dummy_d_267;
// synthesis translate_on
always @(*) begin
- vns_multiplexer_next_state <= 4'd0;
- vns_multiplexer_next_state <= vns_multiplexer_state;
- case (vns_multiplexer_state)
+ multiplexer_next_state <= 4'd0;
+ multiplexer_next_state <= multiplexer_state;
+ case (multiplexer_state)
1'd1: begin
- if (soc_sdram_read_available) begin
- if (((~soc_sdram_write_available) | soc_sdram_max_time1)) begin
- vns_multiplexer_next_state <= 2'd3;
+ if (litedramcore_read_available) begin
+ if (((~litedramcore_write_available) | litedramcore_max_time1)) begin
+ multiplexer_next_state <= 2'd3;
end
end
- if (soc_sdram_go_to_refresh) begin
- vns_multiplexer_next_state <= 2'd2;
+ if (litedramcore_go_to_refresh) begin
+ multiplexer_next_state <= 2'd2;
end
end
2'd2: begin
- if (soc_sdram_cmd_last) begin
- vns_multiplexer_next_state <= 1'd0;
+ if (litedramcore_cmd_last) begin
+ multiplexer_next_state <= 1'd0;
end
end
2'd3: begin
- if (soc_sdram_twtrcon_ready) begin
- vns_multiplexer_next_state <= 1'd0;
+ if (litedramcore_twtrcon_ready) begin
+ multiplexer_next_state <= 1'd0;
end
end
3'd4: begin
- vns_multiplexer_next_state <= 3'd5;
+ multiplexer_next_state <= 3'd5;
end
3'd5: begin
- vns_multiplexer_next_state <= 3'd6;
+ multiplexer_next_state <= 3'd6;
end
3'd6: begin
- vns_multiplexer_next_state <= 3'd7;
+ multiplexer_next_state <= 3'd7;
end
3'd7: begin
- vns_multiplexer_next_state <= 4'd8;
+ multiplexer_next_state <= 4'd8;
end
4'd8: begin
- vns_multiplexer_next_state <= 4'd9;
+ multiplexer_next_state <= 4'd9;
end
4'd9: begin
- vns_multiplexer_next_state <= 4'd10;
+ multiplexer_next_state <= 4'd10;
end
4'd10: begin
- vns_multiplexer_next_state <= 1'd1;
+ multiplexer_next_state <= 1'd1;
end
default: begin
- if (soc_sdram_write_available) begin
- if (((~soc_sdram_read_available) | soc_sdram_max_time0)) begin
- vns_multiplexer_next_state <= 3'd4;
+ if (litedramcore_write_available) begin
+ if (((~litedramcore_read_available) | litedramcore_max_time0)) begin
+ multiplexer_next_state <= 3'd4;
end
end
- if (soc_sdram_go_to_refresh) begin
- vns_multiplexer_next_state <= 2'd2;
+ if (litedramcore_go_to_refresh) begin
+ multiplexer_next_state <= 2'd2;
end
end
endcase
// synthesis translate_off
- dummy_d_280 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_281;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_steerer_sel0 <= 2'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- soc_sdram_steerer_sel0 <= 1'd0;
- end
- 2'd2: begin
- soc_sdram_steerer_sel0 <= 2'd3;
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- soc_sdram_steerer_sel0 <= 1'd0;
- end
- endcase
-// synthesis translate_off
- dummy_d_281 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_282;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_steerer_sel1 <= 2'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- soc_sdram_steerer_sel1 <= 1'd0;
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- soc_sdram_steerer_sel1 <= 1'd1;
- end
- endcase
-// synthesis translate_off
- dummy_d_282 = dummy_s;
+ dummy_d_267 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_283;
+reg dummy_d_268;
// synthesis translate_on
always @(*) begin
- soc_sdram_steerer_sel2 <= 2'd0;
- case (vns_multiplexer_state)
+ litedramcore_steerer_sel2 <= 2'd0;
+ case (multiplexer_state)
1'd1: begin
- soc_sdram_steerer_sel2 <= 1'd1;
+ litedramcore_steerer_sel2 <= 1'd1;
end
2'd2: begin
end
4'd10: begin
end
default: begin
- soc_sdram_steerer_sel2 <= 2'd2;
+ litedramcore_steerer_sel2 <= 2'd2;
end
endcase
// synthesis translate_off
- dummy_d_283 = dummy_s;
+ dummy_d_268 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_284;
+reg dummy_d_269;
// synthesis translate_on
always @(*) begin
- soc_sdram_choose_cmd_want_activates <= 1'd0;
- case (vns_multiplexer_state)
+ litedramcore_choose_cmd_want_activates <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
if (1'd0) begin
end else begin
- soc_sdram_choose_cmd_want_activates <= soc_sdram_ras_allowed;
+ litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed;
end
end
2'd2: begin
default: begin
if (1'd0) begin
end else begin
- soc_sdram_choose_cmd_want_activates <= soc_sdram_ras_allowed;
+ litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed;
end
end
endcase
// synthesis translate_off
- dummy_d_284 = dummy_s;
+ dummy_d_269 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_285;
+reg dummy_d_270;
// synthesis translate_on
always @(*) begin
- soc_sdram_steerer_sel3 <= 2'd0;
- case (vns_multiplexer_state)
+ litedramcore_steerer_sel3 <= 2'd0;
+ case (multiplexer_state)
1'd1: begin
- soc_sdram_steerer_sel3 <= 2'd2;
+ litedramcore_steerer_sel3 <= 2'd2;
end
2'd2: begin
end
4'd10: begin
end
default: begin
- soc_sdram_steerer_sel3 <= 1'd0;
+ litedramcore_steerer_sel3 <= 1'd0;
end
endcase
// synthesis translate_off
- dummy_d_285 = dummy_s;
+ dummy_d_270 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_286;
+reg dummy_d_271;
// synthesis translate_on
always @(*) begin
- soc_sdram_en0 <= 1'd0;
- case (vns_multiplexer_state)
+ litedramcore_en0 <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
end
2'd2: begin
4'd10: begin
end
default: begin
- soc_sdram_en0 <= 1'd1;
+ litedramcore_en0 <= 1'd1;
end
endcase
// synthesis translate_off
- dummy_d_286 = dummy_s;
+ dummy_d_271 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_287;
+reg dummy_d_272;
// synthesis translate_on
always @(*) begin
- soc_sdram_cmd_ready <= 1'd0;
- case (vns_multiplexer_state)
+ litedramcore_cmd_ready <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
end
2'd2: begin
- soc_sdram_cmd_ready <= 1'd1;
+ litedramcore_cmd_ready <= 1'd1;
end
2'd3: begin
end
end
endcase
// synthesis translate_off
- dummy_d_287 = dummy_s;
+ dummy_d_272 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_288;
+reg dummy_d_273;
// synthesis translate_on
always @(*) begin
- soc_sdram_choose_cmd_cmd_ready <= 1'd0;
- case (vns_multiplexer_state)
+ litedramcore_choose_cmd_cmd_ready <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
if (1'd0) begin
end else begin
- soc_sdram_choose_cmd_cmd_ready <= ((~((soc_sdram_choose_cmd_cmd_payload_ras & (~soc_sdram_choose_cmd_cmd_payload_cas)) & (~soc_sdram_choose_cmd_cmd_payload_we))) | soc_sdram_ras_allowed);
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- if (1'd0) begin
- end else begin
- soc_sdram_choose_cmd_cmd_ready <= ((~((soc_sdram_choose_cmd_cmd_payload_ras & (~soc_sdram_choose_cmd_cmd_payload_cas)) & (~soc_sdram_choose_cmd_cmd_payload_we))) | soc_sdram_ras_allowed);
+ litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed);
end
end
- endcase
-// synthesis translate_off
- dummy_d_288 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_289;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_req_want_reads <= 1'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- soc_sdram_choose_req_want_reads <= 1'd1;
- end
- endcase
-// synthesis translate_off
- dummy_d_289 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_290;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_req_want_writes <= 1'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- soc_sdram_choose_req_want_writes <= 1'd1;
- end
2'd2: begin
end
2'd3: begin
4'd9: begin
end
4'd10: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_290 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_291;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_choose_req_cmd_ready <= 1'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- if (1'd0) begin
- soc_sdram_choose_req_cmd_ready <= (soc_sdram_cas_allowed & ((~((soc_sdram_choose_req_cmd_payload_ras & (~soc_sdram_choose_req_cmd_payload_cas)) & (~soc_sdram_choose_req_cmd_payload_we))) | soc_sdram_ras_allowed));
- end else begin
- soc_sdram_choose_req_cmd_ready <= soc_sdram_cas_allowed;
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- if (1'd0) begin
- soc_sdram_choose_req_cmd_ready <= (soc_sdram_cas_allowed & ((~((soc_sdram_choose_req_cmd_payload_ras & (~soc_sdram_choose_req_cmd_payload_cas)) & (~soc_sdram_choose_req_cmd_payload_we))) | soc_sdram_ras_allowed));
- end else begin
- soc_sdram_choose_req_cmd_ready <= soc_sdram_cas_allowed;
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_291 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_292;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_en1 <= 1'd0;
- case (vns_multiplexer_state)
- 1'd1: begin
- soc_sdram_en1 <= 1'd1;
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- 3'd5: begin
- end
- 3'd6: begin
- end
- 3'd7: begin
- end
- 4'd8: begin
- end
- 4'd9: begin
- end
- 4'd10: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_292 = dummy_s;
-// synthesis translate_on
-end
-assign vns_roundrobin0_request = {(((soc_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked1 | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked0 | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin0_ce = ((~soc_sdram_interface_bank0_valid) & (~soc_sdram_interface_bank0_lock));
-assign soc_sdram_interface_bank0_addr = vns_rhs_array_muxed12;
-assign soc_sdram_interface_bank0_we = vns_rhs_array_muxed13;
-assign soc_sdram_interface_bank0_valid = vns_rhs_array_muxed14;
-assign vns_roundrobin1_request = {(((soc_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked3 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked2 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin1_ce = ((~soc_sdram_interface_bank1_valid) & (~soc_sdram_interface_bank1_lock));
-assign soc_sdram_interface_bank1_addr = vns_rhs_array_muxed15;
-assign soc_sdram_interface_bank1_we = vns_rhs_array_muxed16;
-assign soc_sdram_interface_bank1_valid = vns_rhs_array_muxed17;
-assign vns_roundrobin2_request = {(((soc_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked5 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked4 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin2_ce = ((~soc_sdram_interface_bank2_valid) & (~soc_sdram_interface_bank2_lock));
-assign soc_sdram_interface_bank2_addr = vns_rhs_array_muxed18;
-assign soc_sdram_interface_bank2_we = vns_rhs_array_muxed19;
-assign soc_sdram_interface_bank2_valid = vns_rhs_array_muxed20;
-assign vns_roundrobin3_request = {(((soc_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked7 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked6 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin3_ce = ((~soc_sdram_interface_bank3_valid) & (~soc_sdram_interface_bank3_lock));
-assign soc_sdram_interface_bank3_addr = vns_rhs_array_muxed21;
-assign soc_sdram_interface_bank3_we = vns_rhs_array_muxed22;
-assign soc_sdram_interface_bank3_valid = vns_rhs_array_muxed23;
-assign vns_roundrobin4_request = {(((soc_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked9 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked8 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin4_ce = ((~soc_sdram_interface_bank4_valid) & (~soc_sdram_interface_bank4_lock));
-assign soc_sdram_interface_bank4_addr = vns_rhs_array_muxed24;
-assign soc_sdram_interface_bank4_we = vns_rhs_array_muxed25;
-assign soc_sdram_interface_bank4_valid = vns_rhs_array_muxed26;
-assign vns_roundrobin5_request = {(((soc_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked11 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked10 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin5_ce = ((~soc_sdram_interface_bank5_valid) & (~soc_sdram_interface_bank5_lock));
-assign soc_sdram_interface_bank5_addr = vns_rhs_array_muxed27;
-assign soc_sdram_interface_bank5_we = vns_rhs_array_muxed28;
-assign soc_sdram_interface_bank5_valid = vns_rhs_array_muxed29;
-assign vns_roundrobin6_request = {(((soc_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked13 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked12 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin6_ce = ((~soc_sdram_interface_bank6_valid) & (~soc_sdram_interface_bank6_lock));
-assign soc_sdram_interface_bank6_addr = vns_rhs_array_muxed30;
-assign soc_sdram_interface_bank6_we = vns_rhs_array_muxed31;
-assign soc_sdram_interface_bank6_valid = vns_rhs_array_muxed32;
-assign vns_roundrobin7_request = {(((soc_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked15 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))))) & soc_cmd_valid), (((soc_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked14 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))))) & soc_port_cmd_valid)};
-assign vns_roundrobin7_ce = ((~soc_sdram_interface_bank7_valid) & (~soc_sdram_interface_bank7_lock));
-assign soc_sdram_interface_bank7_addr = vns_rhs_array_muxed33;
-assign soc_sdram_interface_bank7_we = vns_rhs_array_muxed34;
-assign soc_sdram_interface_bank7_valid = vns_rhs_array_muxed35;
-assign soc_port_cmd_ready = ((((((((1'd0 | (((vns_roundrobin0_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked0 | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank0_ready)) | (((vns_roundrobin1_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked2 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank1_ready)) | (((vns_roundrobin2_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked4 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank2_ready)) | (((vns_roundrobin3_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked6 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank3_ready)) | (((vns_roundrobin4_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked8 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank4_ready)) | (((vns_roundrobin5_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked10 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank5_ready)) | (((vns_roundrobin6_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked12 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0)))))) & soc_sdram_interface_bank6_ready)) | (((vns_roundrobin7_grant == 1'd0) & ((soc_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked14 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0)))))) & soc_sdram_interface_bank7_ready));
-assign soc_cmd_ready = ((((((((1'd0 | (((vns_roundrobin0_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked1 | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank0_ready)) | (((vns_roundrobin1_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked3 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank1_ready)) | (((vns_roundrobin2_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked5 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank2_ready)) | (((vns_roundrobin3_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked7 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank3_ready)) | (((vns_roundrobin4_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked9 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank4_ready)) | (((vns_roundrobin5_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked11 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank5_ready)) | (((vns_roundrobin6_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked13 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1)))))) & soc_sdram_interface_bank6_ready)) | (((vns_roundrobin7_grant == 1'd1) & ((soc_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked15 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1)))))) & soc_sdram_interface_bank7_ready));
-assign soc_port_wdata_ready = vns_new_master_wdata_ready2;
-assign soc_wdata_ready = vns_new_master_wdata_ready5;
-assign soc_port_rdata_valid = vns_new_master_rdata_valid8;
-assign soc_rdata_valid = vns_new_master_rdata_valid17;
-
-// synthesis translate_off
-reg dummy_d_293;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_interface_wdata_we <= 16'd0;
- case ({vns_new_master_wdata_ready5, vns_new_master_wdata_ready2})
- 1'd1: begin
- soc_sdram_interface_wdata_we <= soc_port_wdata_payload_we;
- end
- 2'd2: begin
- soc_sdram_interface_wdata_we <= soc_wdata_payload_we;
- end
- default: begin
- soc_sdram_interface_wdata_we <= 1'd0;
- end
- endcase
-// synthesis translate_off
- dummy_d_293 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_294;
-// synthesis translate_on
-always @(*) begin
- soc_sdram_interface_wdata <= 128'd0;
- case ({vns_new_master_wdata_ready5, vns_new_master_wdata_ready2})
- 1'd1: begin
- soc_sdram_interface_wdata <= soc_port_wdata_payload_data;
- end
- 2'd2: begin
- soc_sdram_interface_wdata <= soc_wdata_payload_data;
- end
- default: begin
- soc_sdram_interface_wdata <= 1'd0;
- end
- endcase
-// synthesis translate_off
- dummy_d_294 = dummy_s;
-// synthesis translate_on
-end
-assign soc_port_rdata_payload_data = soc_sdram_interface_rdata;
-assign soc_rdata_payload_data = soc_sdram_interface_rdata;
-assign soc_address_d = soc_wb_sdram_adr;
-assign soc_counter_offset = soc_address_q;
-assign soc_counter_done = ((soc_counter + soc_counter_offset) == 2'd3);
-assign soc_end_of_burst = ((~soc_wb_sdram_cyc) | (((soc_wb_sdram_stb & soc_wb_sdram_cyc) & soc_wb_sdram_ack) & ((soc_wb_sdram_cti == 3'd7) | soc_counter_done)));
-assign soc_need_refill_reset = soc_end_of_burst;
-assign soc_need_refill_d = 1'd0;
-assign soc_litedram_wb_cti = 3'd7;
-assign soc_litedram_wb_adr = soc_address_q[29:2];
-assign soc_cached_sels_reset0 = soc_counter_reset;
-
-// synthesis translate_off
-reg dummy_d_295;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_flipflop0_d <= 32'd0;
- if (soc_write) begin
- soc_cached_datas_flipflop0_d <= soc_wb_sdram_dat_w;
- end else begin
- soc_cached_datas_flipflop0_d <= soc_litedram_wb_dat_r[31:0];
- end
-// synthesis translate_off
- dummy_d_295 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_flipflop0_d = soc_wb_sdram_sel;
-
-// synthesis translate_off
-reg dummy_d_296;
-// synthesis translate_on
-always @(*) begin
- soc_cached_sels_ce0 <= 1'd0;
- if (((soc_write & soc_write_sel0) | soc_refill)) begin
- soc_cached_sels_ce0 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_296 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_297;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_ce0 <= 1'd0;
- if (((soc_write & soc_write_sel0) | soc_refill)) begin
- soc_cached_datas_ce0 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_297 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_reset1 = soc_counter_reset;
-
-// synthesis translate_off
-reg dummy_d_298;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_flipflop1_d <= 32'd0;
- if (soc_write) begin
- soc_cached_datas_flipflop1_d <= soc_wb_sdram_dat_w;
- end else begin
- soc_cached_datas_flipflop1_d <= soc_litedram_wb_dat_r[63:32];
- end
-// synthesis translate_off
- dummy_d_298 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_flipflop1_d = soc_wb_sdram_sel;
-
-// synthesis translate_off
-reg dummy_d_299;
-// synthesis translate_on
-always @(*) begin
- soc_cached_sels_ce1 <= 1'd0;
- if (((soc_write & soc_write_sel1) | soc_refill)) begin
- soc_cached_sels_ce1 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_299 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_300;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_ce1 <= 1'd0;
- if (((soc_write & soc_write_sel1) | soc_refill)) begin
- soc_cached_datas_ce1 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_300 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_reset2 = soc_counter_reset;
-
-// synthesis translate_off
-reg dummy_d_301;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_flipflop2_d <= 32'd0;
- if (soc_write) begin
- soc_cached_datas_flipflop2_d <= soc_wb_sdram_dat_w;
- end else begin
- soc_cached_datas_flipflop2_d <= soc_litedram_wb_dat_r[95:64];
- end
-// synthesis translate_off
- dummy_d_301 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_flipflop2_d = soc_wb_sdram_sel;
-
-// synthesis translate_off
-reg dummy_d_302;
-// synthesis translate_on
-always @(*) begin
- soc_cached_sels_ce2 <= 1'd0;
- if (((soc_write & soc_write_sel2) | soc_refill)) begin
- soc_cached_sels_ce2 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_302 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_303;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_ce2 <= 1'd0;
- if (((soc_write & soc_write_sel2) | soc_refill)) begin
- soc_cached_datas_ce2 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_303 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_reset3 = soc_counter_reset;
-
-// synthesis translate_off
-reg dummy_d_304;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_flipflop3_d <= 32'd0;
- if (soc_write) begin
- soc_cached_datas_flipflop3_d <= soc_wb_sdram_dat_w;
- end else begin
- soc_cached_datas_flipflop3_d <= soc_litedram_wb_dat_r[127:96];
- end
-// synthesis translate_off
- dummy_d_304 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_sels_flipflop3_d = soc_wb_sdram_sel;
-
-// synthesis translate_off
-reg dummy_d_305;
-// synthesis translate_on
-always @(*) begin
- soc_cached_sels_ce3 <= 1'd0;
- if (((soc_write & soc_write_sel3) | soc_refill)) begin
- soc_cached_sels_ce3 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_305 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_306;
-// synthesis translate_on
-always @(*) begin
- soc_cached_datas_ce3 <= 1'd0;
- if (((soc_write & soc_write_sel3) | soc_refill)) begin
- soc_cached_datas_ce3 <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_306 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_307;
-// synthesis translate_on
-always @(*) begin
- soc_write_sel1 <= 1'd0;
- case ((soc_counter + soc_counter_offset))
- 1'd0: begin
- end
- 1'd1: begin
- soc_write_sel1 <= 1'd1;
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_307 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_308;
-// synthesis translate_on
-always @(*) begin
- soc_write_sel0 <= 1'd0;
- case ((soc_counter + soc_counter_offset))
- 1'd0: begin
- soc_write_sel0 <= 1'd1;
- end
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_308 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_309;
-// synthesis translate_on
-always @(*) begin
- soc_write_sel2 <= 1'd0;
- case ((soc_counter + soc_counter_offset))
- 1'd0: begin
- end
- 1'd1: begin
- end
- 2'd2: begin
- soc_write_sel2 <= 1'd1;
- end
- 2'd3: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_309 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_310;
-// synthesis translate_on
-always @(*) begin
- soc_write_sel3 <= 1'd0;
- case ((soc_counter + soc_counter_offset))
- 1'd0: begin
- end
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- soc_write_sel3 <= 1'd1;
- end
- endcase
-// synthesis translate_off
- dummy_d_310 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_311;
-// synthesis translate_on
-always @(*) begin
- soc_wb_sdram_dat_r <= 32'd0;
- case (soc_address_q[1:0])
- 1'd0: begin
- soc_wb_sdram_dat_r <= soc_cached_datas_flipflop0_q;
- end
- 1'd1: begin
- soc_wb_sdram_dat_r <= soc_cached_datas_flipflop1_q;
- end
- 2'd2: begin
- soc_wb_sdram_dat_r <= soc_cached_datas_flipflop2_q;
- end
- 2'd3: begin
- soc_wb_sdram_dat_r <= soc_cached_datas_flipflop3_q;
- end
- endcase
-// synthesis translate_off
- dummy_d_311 = dummy_s;
-// synthesis translate_on
-end
-assign soc_cached_data = {soc_cached_datas_flipflop3_q, soc_cached_datas_flipflop2_q, soc_cached_datas_flipflop1_q, soc_cached_datas_flipflop0_q};
-assign soc_cached_sel = {soc_cached_sels_flipflop3_q, soc_cached_sels_flipflop2_q, soc_cached_sels_flipflop1_q, soc_cached_sels_flipflop0_q};
-
-// synthesis translate_off
-reg dummy_d_312;
-// synthesis translate_on
-always @(*) begin
- vns_converter_next_state <= 3'd0;
- vns_converter_next_state <= vns_converter_state;
- case (vns_converter_state)
- 1'd1: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- if (soc_counter_done) begin
- vns_converter_next_state <= 2'd2;
- end
- end else begin
- if ((~soc_wb_sdram_cyc)) begin
- vns_converter_next_state <= 2'd2;
- end
- end
- end
- 2'd2: begin
- if (soc_litedram_wb_ack) begin
- vns_converter_next_state <= 1'd0;
- end
- end
- 2'd3: begin
- if (soc_litedram_wb_ack) begin
- vns_converter_next_state <= 3'd4;
- end
- end
- 3'd4: begin
- vns_converter_next_state <= 1'd0;
- end
- default: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- if (soc_wb_sdram_we) begin
- vns_converter_next_state <= 1'd1;
- end else begin
- if (soc_need_refill_q) begin
- vns_converter_next_state <= 2'd3;
- end else begin
- vns_converter_next_state <= 3'd4;
- end
- end
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_312 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_313;
-// synthesis translate_on
-always @(*) begin
- soc_address_ce <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- soc_address_ce <= 1'd1;
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_313 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_314;
-// synthesis translate_on
-always @(*) begin
- soc_litedram_wb_dat_w <= 128'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- soc_litedram_wb_dat_w <= soc_cached_data;
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_314 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_315;
-// synthesis translate_on
-always @(*) begin
- soc_litedram_wb_sel <= 16'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- soc_litedram_wb_sel <= soc_cached_sel;
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_315 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_316;
-// synthesis translate_on
-always @(*) begin
- soc_counter_ce <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- soc_counter_ce <= 1'd1;
- end else begin
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_316 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_317;
-// synthesis translate_on
-always @(*) begin
- soc_litedram_wb_cyc <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- soc_litedram_wb_cyc <= 1'd1;
- end
- 2'd3: begin
- soc_litedram_wb_cyc <= 1'd1;
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_317 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_318;
-// synthesis translate_on
-always @(*) begin
- soc_counter_reset <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- soc_counter_reset <= 1'd1;
- end
- endcase
-// synthesis translate_off
- dummy_d_318 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_319;
-// synthesis translate_on
-always @(*) begin
- soc_litedram_wb_stb <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- soc_litedram_wb_stb <= 1'd1;
- end
- 2'd3: begin
- soc_litedram_wb_stb <= 1'd1;
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_319 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_320;
-// synthesis translate_on
-always @(*) begin
- soc_need_refill_ce <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- if (soc_litedram_wb_ack) begin
- soc_need_refill_ce <= 1'd1;
- end
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_320 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_321;
-// synthesis translate_on
-always @(*) begin
- soc_litedram_wb_we <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- soc_litedram_wb_we <= 1'd1;
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_321 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_322;
-// synthesis translate_on
-always @(*) begin
- soc_write <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- soc_write <= 1'd1;
- end else begin
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_322 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_323;
-// synthesis translate_on
-always @(*) begin
- soc_wb_sdram_ack <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- soc_wb_sdram_ack <= 1'd1;
- end else begin
- end
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- if ((soc_wb_sdram_stb & soc_wb_sdram_cyc)) begin
- soc_wb_sdram_ack <= 1'd1;
- end
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_323 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_324;
-// synthesis translate_on
-always @(*) begin
- soc_evict <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- soc_evict <= 1'd1;
- end
- 2'd3: begin
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_324 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_325;
-// synthesis translate_on
-always @(*) begin
- soc_refill <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- soc_refill <= 1'd1;
- end
- 3'd4: begin
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_325 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_326;
-// synthesis translate_on
-always @(*) begin
- soc_read <= 1'd0;
- case (vns_converter_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- 2'd3: begin
- end
- 3'd4: begin
- soc_read <= 1'd1;
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_326 = dummy_s;
-// synthesis translate_on
-end
-assign soc_wdata_converter_sink_valid = ((soc_litedram_wb_cyc & soc_litedram_wb_stb) & soc_litedram_wb_we);
-assign soc_wdata_converter_sink_payload_data = soc_litedram_wb_dat_w;
-assign soc_wdata_converter_sink_payload_we = soc_litedram_wb_sel;
-assign soc_port_wdata_valid = soc_wdata_converter_source_valid;
-assign soc_wdata_converter_source_ready = soc_port_wdata_ready;
-assign soc_port_wdata_first = soc_wdata_converter_source_first;
-assign soc_port_wdata_last = soc_wdata_converter_source_last;
-assign soc_port_wdata_payload_data = soc_wdata_converter_source_payload_data;
-assign soc_port_wdata_payload_we = soc_wdata_converter_source_payload_we;
-assign soc_rdata_converter_sink_valid = soc_port_rdata_valid;
-assign soc_port_rdata_ready = soc_rdata_converter_sink_ready;
-assign soc_rdata_converter_sink_first = soc_port_rdata_first;
-assign soc_rdata_converter_sink_last = soc_port_rdata_last;
-assign soc_rdata_converter_sink_payload_data = soc_port_rdata_payload_data;
-assign soc_rdata_converter_source_ready = 1'd1;
-assign soc_litedram_wb_dat_r = soc_rdata_converter_source_payload_data;
-assign soc_wdata_converter_converter_sink_valid = soc_wdata_converter_sink_valid;
-assign soc_wdata_converter_converter_sink_first = soc_wdata_converter_sink_first;
-assign soc_wdata_converter_converter_sink_last = soc_wdata_converter_sink_last;
-assign soc_wdata_converter_sink_ready = soc_wdata_converter_converter_sink_ready;
-assign soc_wdata_converter_converter_sink_payload_data = {soc_wdata_converter_sink_payload_we, soc_wdata_converter_sink_payload_data};
-assign soc_wdata_converter_source_valid = soc_wdata_converter_source_source_valid;
-assign soc_wdata_converter_source_first = soc_wdata_converter_source_source_first;
-assign soc_wdata_converter_source_last = soc_wdata_converter_source_source_last;
-assign soc_wdata_converter_source_source_ready = soc_wdata_converter_source_ready;
-assign {soc_wdata_converter_source_payload_we, soc_wdata_converter_source_payload_data} = soc_wdata_converter_source_source_payload_data;
-assign {soc_wdata_converter_source_payload_we, soc_wdata_converter_source_payload_data} = soc_wdata_converter_source_source_payload_data;
-assign soc_wdata_converter_source_source_valid = soc_wdata_converter_converter_source_valid;
-assign soc_wdata_converter_converter_source_ready = soc_wdata_converter_source_source_ready;
-assign soc_wdata_converter_source_source_first = soc_wdata_converter_converter_source_first;
-assign soc_wdata_converter_source_source_last = soc_wdata_converter_converter_source_last;
-assign soc_wdata_converter_source_source_payload_data = soc_wdata_converter_converter_source_payload_data;
-assign soc_wdata_converter_converter_source_valid = soc_wdata_converter_converter_sink_valid;
-assign soc_wdata_converter_converter_sink_ready = soc_wdata_converter_converter_source_ready;
-assign soc_wdata_converter_converter_source_first = soc_wdata_converter_converter_sink_first;
-assign soc_wdata_converter_converter_source_last = soc_wdata_converter_converter_sink_last;
-assign soc_wdata_converter_converter_source_payload_data = soc_wdata_converter_converter_sink_payload_data;
-assign soc_wdata_converter_converter_source_payload_valid_token_count = 1'd1;
-assign soc_rdata_converter_converter_sink_valid = soc_rdata_converter_sink_valid;
-assign soc_rdata_converter_converter_sink_first = soc_rdata_converter_sink_first;
-assign soc_rdata_converter_converter_sink_last = soc_rdata_converter_sink_last;
-assign soc_rdata_converter_sink_ready = soc_rdata_converter_converter_sink_ready;
-assign soc_rdata_converter_converter_sink_payload_data = {soc_rdata_converter_sink_payload_data};
-assign soc_rdata_converter_source_valid = soc_rdata_converter_source_source_valid;
-assign soc_rdata_converter_source_first = soc_rdata_converter_source_source_first;
-assign soc_rdata_converter_source_last = soc_rdata_converter_source_source_last;
-assign soc_rdata_converter_source_source_ready = soc_rdata_converter_source_ready;
-assign {soc_rdata_converter_source_payload_data} = soc_rdata_converter_source_source_payload_data;
-assign soc_rdata_converter_source_source_valid = soc_rdata_converter_converter_source_valid;
-assign soc_rdata_converter_converter_source_ready = soc_rdata_converter_source_source_ready;
-assign soc_rdata_converter_source_source_first = soc_rdata_converter_converter_source_first;
-assign soc_rdata_converter_source_source_last = soc_rdata_converter_converter_source_last;
-assign soc_rdata_converter_source_source_payload_data = soc_rdata_converter_converter_source_payload_data;
-assign soc_rdata_converter_converter_source_valid = soc_rdata_converter_converter_sink_valid;
-assign soc_rdata_converter_converter_sink_ready = soc_rdata_converter_converter_source_ready;
-assign soc_rdata_converter_converter_source_first = soc_rdata_converter_converter_sink_first;
-assign soc_rdata_converter_converter_source_last = soc_rdata_converter_converter_sink_last;
-assign soc_rdata_converter_converter_source_payload_data = soc_rdata_converter_converter_sink_payload_data;
-assign soc_rdata_converter_converter_source_payload_valid_token_count = 1'd1;
-
-// synthesis translate_off
-reg dummy_d_327;
-// synthesis translate_on
-always @(*) begin
- vns_litedramwishbone2native_next_state <= 2'd0;
- vns_litedramwishbone2native_next_state <= vns_litedramwishbone2native_state;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- if (soc_wdata_converter_sink_ready) begin
- vns_litedramwishbone2native_next_state <= 1'd0;
- end
- end
- 2'd2: begin
- if (soc_rdata_converter_source_valid) begin
- vns_litedramwishbone2native_next_state <= 1'd0;
- end
- end
- default: begin
- if ((soc_port_cmd_valid & soc_port_cmd_ready)) begin
- if ((soc_count == 1'd0)) begin
- if (soc_litedram_wb_we) begin
- vns_litedramwishbone2native_next_state <= 1'd1;
- end else begin
- vns_litedramwishbone2native_next_state <= 2'd2;
- end
- end
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_327 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_328;
-// synthesis translate_on
-always @(*) begin
- soc_count_next_value_ce <= 1'd0;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- default: begin
- if ((soc_port_cmd_valid & soc_port_cmd_ready)) begin
- soc_count_next_value_ce <= 1'd1;
- if ((soc_count == 1'd0)) begin
- soc_count_next_value_ce <= 1'd1;
- end
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_328 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_329;
-// synthesis translate_on
-always @(*) begin
- soc_port_cmd_valid <= 1'd0;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- default: begin
- soc_port_cmd_valid <= (soc_litedram_wb_cyc & soc_litedram_wb_stb);
- end
- endcase
-// synthesis translate_off
- dummy_d_329 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_330;
-// synthesis translate_on
-always @(*) begin
- soc_litedram_wb_ack <= 1'd0;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- if (soc_wdata_converter_sink_ready) begin
- soc_litedram_wb_ack <= 1'd1;
- end
- end
- 2'd2: begin
- if (soc_rdata_converter_source_valid) begin
- soc_litedram_wb_ack <= 1'd1;
- end
- end
- default: begin
- end
- endcase
-// synthesis translate_off
- dummy_d_330 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_331;
-// synthesis translate_on
-always @(*) begin
- soc_port_cmd_payload_we <= 1'd0;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- default: begin
- soc_port_cmd_payload_we <= soc_litedram_wb_we;
- end
- endcase
-// synthesis translate_off
- dummy_d_331 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_332;
-// synthesis translate_on
-always @(*) begin
- soc_port_cmd_payload_addr <= 25'd0;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- default: begin
- soc_port_cmd_payload_addr <= (((soc_litedram_wb_adr * 1'd1) + soc_count) - 27'd67108864);
- end
- endcase
-// synthesis translate_off
- dummy_d_332 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_333;
-// synthesis translate_on
-always @(*) begin
- soc_count_next_value <= 1'd0;
- case (vns_litedramwishbone2native_state)
- 1'd1: begin
- end
- 2'd2: begin
- end
- default: begin
- if ((soc_port_cmd_valid & soc_port_cmd_ready)) begin
- soc_count_next_value <= (soc_count + 1'd1);
- if ((soc_count == 1'd0)) begin
- soc_count_next_value <= 1'd0;
- end
- end
- end
- endcase
-// synthesis translate_off
- dummy_d_333 = dummy_s;
-// synthesis translate_on
-end
-assign vns_shared_adr = vns_rhs_array_muxed36;
-assign vns_shared_dat_w = vns_rhs_array_muxed37;
-assign vns_shared_sel = vns_rhs_array_muxed38;
-assign vns_shared_cyc = vns_rhs_array_muxed39;
-assign vns_shared_stb = vns_rhs_array_muxed40;
-assign vns_shared_we = vns_rhs_array_muxed41;
-assign vns_shared_cti = vns_rhs_array_muxed42;
-assign vns_shared_bte = vns_rhs_array_muxed43;
-assign soc_litedramcore_cpu_ibus_dat_r = vns_shared_dat_r;
-assign soc_litedramcore_cpu_dbus_dat_r = vns_shared_dat_r;
-assign soc_litedramcore_cpu_ibus_ack = (vns_shared_ack & (vns_grant == 1'd0));
-assign soc_litedramcore_cpu_dbus_ack = (vns_shared_ack & (vns_grant == 1'd1));
-assign soc_litedramcore_cpu_ibus_err = (vns_shared_err & (vns_grant == 1'd0));
-assign soc_litedramcore_cpu_dbus_err = (vns_shared_err & (vns_grant == 1'd1));
-assign vns_request = {soc_litedramcore_cpu_dbus_cyc, soc_litedramcore_cpu_ibus_cyc};
-
-// synthesis translate_off
-reg dummy_d_334;
-// synthesis translate_on
-always @(*) begin
- vns_slave_sel <= 4'd0;
- vns_slave_sel[0] <= (vns_shared_adr[29:13] == 1'd0);
- vns_slave_sel[1] <= (vns_shared_adr[29:10] == 13'd4096);
- vns_slave_sel[2] <= (vns_shared_adr[29:14] == 16'd33280);
- vns_slave_sel[3] <= (vns_shared_adr[29:22] == 7'd64);
-// synthesis translate_off
- dummy_d_334 = dummy_s;
-// synthesis translate_on
-end
-assign soc_litedramcore_litedramcore_ram_bus_adr = vns_shared_adr;
-assign soc_litedramcore_litedramcore_ram_bus_dat_w = vns_shared_dat_w;
-assign soc_litedramcore_litedramcore_ram_bus_sel = vns_shared_sel;
-assign soc_litedramcore_litedramcore_ram_bus_stb = vns_shared_stb;
-assign soc_litedramcore_litedramcore_ram_bus_we = vns_shared_we;
-assign soc_litedramcore_litedramcore_ram_bus_cti = vns_shared_cti;
-assign soc_litedramcore_litedramcore_ram_bus_bte = vns_shared_bte;
-assign soc_litedramcore_ram_bus_ram_bus_adr = vns_shared_adr;
-assign soc_litedramcore_ram_bus_ram_bus_dat_w = vns_shared_dat_w;
-assign soc_litedramcore_ram_bus_ram_bus_sel = vns_shared_sel;
-assign soc_litedramcore_ram_bus_ram_bus_stb = vns_shared_stb;
-assign soc_litedramcore_ram_bus_ram_bus_we = vns_shared_we;
-assign soc_litedramcore_ram_bus_ram_bus_cti = vns_shared_cti;
-assign soc_litedramcore_ram_bus_ram_bus_bte = vns_shared_bte;
-assign soc_litedramcore_bus_wishbone_adr = vns_shared_adr;
-assign soc_litedramcore_bus_wishbone_dat_w = vns_shared_dat_w;
-assign soc_litedramcore_bus_wishbone_sel = vns_shared_sel;
-assign soc_litedramcore_bus_wishbone_stb = vns_shared_stb;
-assign soc_litedramcore_bus_wishbone_we = vns_shared_we;
-assign soc_litedramcore_bus_wishbone_cti = vns_shared_cti;
-assign soc_litedramcore_bus_wishbone_bte = vns_shared_bte;
-assign soc_wb_sdram_adr = vns_shared_adr;
-assign soc_wb_sdram_dat_w = vns_shared_dat_w;
-assign soc_wb_sdram_sel = vns_shared_sel;
-assign soc_wb_sdram_stb = vns_shared_stb;
-assign soc_wb_sdram_we = vns_shared_we;
-assign soc_wb_sdram_cti = vns_shared_cti;
-assign soc_wb_sdram_bte = vns_shared_bte;
-assign soc_litedramcore_litedramcore_ram_bus_cyc = (vns_shared_cyc & vns_slave_sel[0]);
-assign soc_litedramcore_ram_bus_ram_bus_cyc = (vns_shared_cyc & vns_slave_sel[1]);
-assign soc_litedramcore_bus_wishbone_cyc = (vns_shared_cyc & vns_slave_sel[2]);
-assign soc_wb_sdram_cyc = (vns_shared_cyc & vns_slave_sel[3]);
-
-// synthesis translate_off
-reg dummy_d_335;
-// synthesis translate_on
-always @(*) begin
- vns_shared_ack <= 1'd0;
- vns_shared_ack <= (((soc_litedramcore_litedramcore_ram_bus_ack | soc_litedramcore_ram_bus_ram_bus_ack) | soc_litedramcore_bus_wishbone_ack) | soc_wb_sdram_ack);
- if (vns_done) begin
- vns_shared_ack <= 1'd1;
- end
-// synthesis translate_off
- dummy_d_335 = dummy_s;
-// synthesis translate_on
-end
-assign vns_shared_err = (((soc_litedramcore_litedramcore_ram_bus_err | soc_litedramcore_ram_bus_ram_bus_err) | soc_litedramcore_bus_wishbone_err) | soc_wb_sdram_err);
-
-// synthesis translate_off
-reg dummy_d_336;
-// synthesis translate_on
-always @(*) begin
- vns_shared_dat_r <= 32'd0;
- vns_shared_dat_r <= (((({32{vns_slave_sel_r[0]}} & soc_litedramcore_litedramcore_ram_bus_dat_r) | ({32{vns_slave_sel_r[1]}} & soc_litedramcore_ram_bus_ram_bus_dat_r)) | ({32{vns_slave_sel_r[2]}} & soc_litedramcore_bus_wishbone_dat_r)) | ({32{vns_slave_sel_r[3]}} & soc_wb_sdram_dat_r));
- if (vns_done) begin
- vns_shared_dat_r <= 32'd4294967295;
- end
-// synthesis translate_off
- dummy_d_336 = dummy_s;
-// synthesis translate_on
-end
-assign vns_wait = ((vns_shared_stb & vns_shared_cyc) & (~vns_shared_ack));
-
-// synthesis translate_off
-reg dummy_d_337;
-// synthesis translate_on
-always @(*) begin
- vns_error <= 1'd0;
- if (vns_done) begin
- vns_error <= 1'd1;
- end
+ end
+ default: begin
+ if (1'd0) begin
+ end else begin
+ litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed);
+ end
+ end
+ endcase
// synthesis translate_off
- dummy_d_337 = dummy_s;
+ dummy_d_273 = dummy_s;
// synthesis translate_on
end
-assign vns_done = (vns_count == 1'd0);
-assign vns_csrbank0_sel = (vns_interface0_bank_bus_adr[13:9] == 1'd0);
-assign vns_csrbank0_reset0_r = vns_interface0_bank_bus_dat_w[0];
-assign vns_csrbank0_reset0_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 1'd0));
-assign vns_csrbank0_reset0_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 1'd0));
-assign vns_csrbank0_scratch3_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_scratch3_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 1'd1));
-assign vns_csrbank0_scratch3_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 1'd1));
-assign vns_csrbank0_scratch2_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_scratch2_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 2'd2));
-assign vns_csrbank0_scratch2_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 2'd2));
-assign vns_csrbank0_scratch1_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_scratch1_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 2'd3));
-assign vns_csrbank0_scratch1_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 2'd3));
-assign vns_csrbank0_scratch0_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_scratch0_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 3'd4));
-assign vns_csrbank0_scratch0_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 3'd4));
-assign vns_csrbank0_bus_errors3_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_bus_errors3_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 3'd5));
-assign vns_csrbank0_bus_errors3_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 3'd5));
-assign vns_csrbank0_bus_errors2_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_bus_errors2_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 3'd6));
-assign vns_csrbank0_bus_errors2_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 3'd6));
-assign vns_csrbank0_bus_errors1_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_bus_errors1_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 3'd7));
-assign vns_csrbank0_bus_errors1_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 3'd7));
-assign vns_csrbank0_bus_errors0_r = vns_interface0_bank_bus_dat_w[7:0];
-assign vns_csrbank0_bus_errors0_re = ((vns_csrbank0_sel & vns_interface0_bank_bus_we) & (vns_interface0_bank_bus_adr[3:0] == 4'd8));
-assign vns_csrbank0_bus_errors0_we = ((vns_csrbank0_sel & (~vns_interface0_bank_bus_we)) & (vns_interface0_bank_bus_adr[3:0] == 4'd8));
-assign vns_csrbank0_reset0_w = soc_litedramcore_soccontroller_reset_storage;
-assign vns_csrbank0_scratch3_w = soc_litedramcore_soccontroller_scratch_storage[31:24];
-assign vns_csrbank0_scratch2_w = soc_litedramcore_soccontroller_scratch_storage[23:16];
-assign vns_csrbank0_scratch1_w = soc_litedramcore_soccontroller_scratch_storage[15:8];
-assign vns_csrbank0_scratch0_w = soc_litedramcore_soccontroller_scratch_storage[7:0];
-assign vns_csrbank0_bus_errors3_w = soc_litedramcore_soccontroller_bus_errors_status[31:24];
-assign vns_csrbank0_bus_errors2_w = soc_litedramcore_soccontroller_bus_errors_status[23:16];
-assign vns_csrbank0_bus_errors1_w = soc_litedramcore_soccontroller_bus_errors_status[15:8];
-assign vns_csrbank0_bus_errors0_w = soc_litedramcore_soccontroller_bus_errors_status[7:0];
-assign soc_litedramcore_soccontroller_bus_errors_we = vns_csrbank0_bus_errors0_we;
-assign vns_csrbank1_sel = (vns_interface1_bank_bus_adr[13:9] == 3'd7);
-assign vns_csrbank1_init_done0_r = vns_interface1_bank_bus_dat_w[0];
-assign vns_csrbank1_init_done0_re = ((vns_csrbank1_sel & vns_interface1_bank_bus_we) & (vns_interface1_bank_bus_adr[0] == 1'd0));
-assign vns_csrbank1_init_done0_we = ((vns_csrbank1_sel & (~vns_interface1_bank_bus_we)) & (vns_interface1_bank_bus_adr[0] == 1'd0));
-assign vns_csrbank1_init_error0_r = vns_interface1_bank_bus_dat_w[0];
-assign vns_csrbank1_init_error0_re = ((vns_csrbank1_sel & vns_interface1_bank_bus_we) & (vns_interface1_bank_bus_adr[0] == 1'd1));
-assign vns_csrbank1_init_error0_we = ((vns_csrbank1_sel & (~vns_interface1_bank_bus_we)) & (vns_interface1_bank_bus_adr[0] == 1'd1));
-assign vns_csrbank1_init_done0_w = soc_init_done_storage;
-assign vns_csrbank1_init_error0_w = soc_init_error_storage;
-assign vns_csrbank2_sel = (vns_interface2_bank_bus_adr[13:9] == 3'd5);
-assign vns_csrbank2_half_sys8x_taps0_r = vns_interface2_bank_bus_dat_w[4:0];
-assign vns_csrbank2_half_sys8x_taps0_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 1'd0));
-assign vns_csrbank2_half_sys8x_taps0_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 1'd0));
-assign vns_csrbank2_wlevel_en0_r = vns_interface2_bank_bus_dat_w[0];
-assign vns_csrbank2_wlevel_en0_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 1'd1));
-assign vns_csrbank2_wlevel_en0_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 1'd1));
-assign soc_a7ddrphy_wlevel_strobe_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_wlevel_strobe_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 2'd2));
-assign soc_a7ddrphy_wlevel_strobe_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 2'd2));
-assign soc_a7ddrphy_cdly_rst_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_cdly_rst_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 2'd3));
-assign soc_a7ddrphy_cdly_rst_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 2'd3));
-assign soc_a7ddrphy_cdly_inc_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_cdly_inc_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 3'd4));
-assign soc_a7ddrphy_cdly_inc_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 3'd4));
-assign vns_csrbank2_dly_sel0_r = vns_interface2_bank_bus_dat_w[1:0];
-assign vns_csrbank2_dly_sel0_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 3'd5));
-assign vns_csrbank2_dly_sel0_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 3'd5));
-assign soc_a7ddrphy_rdly_dq_rst_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_rdly_dq_rst_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 3'd6));
-assign soc_a7ddrphy_rdly_dq_rst_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 3'd6));
-assign soc_a7ddrphy_rdly_dq_inc_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_rdly_dq_inc_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 3'd7));
-assign soc_a7ddrphy_rdly_dq_inc_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 3'd7));
-assign soc_a7ddrphy_rdly_dq_bitslip_rst_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_rdly_dq_bitslip_rst_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 4'd8));
-assign soc_a7ddrphy_rdly_dq_bitslip_rst_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 4'd8));
-assign soc_a7ddrphy_rdly_dq_bitslip_r = vns_interface2_bank_bus_dat_w[0];
-assign soc_a7ddrphy_rdly_dq_bitslip_re = ((vns_csrbank2_sel & vns_interface2_bank_bus_we) & (vns_interface2_bank_bus_adr[3:0] == 4'd9));
-assign soc_a7ddrphy_rdly_dq_bitslip_we = ((vns_csrbank2_sel & (~vns_interface2_bank_bus_we)) & (vns_interface2_bank_bus_adr[3:0] == 4'd9));
-assign vns_csrbank2_half_sys8x_taps0_w = soc_a7ddrphy_half_sys8x_taps_storage[4:0];
-assign vns_csrbank2_wlevel_en0_w = soc_a7ddrphy_wlevel_en_storage;
-assign vns_csrbank2_dly_sel0_w = soc_a7ddrphy_dly_sel_storage[1:0];
-assign vns_csrbank3_sel = (vns_interface3_bank_bus_adr[13:9] == 3'd6);
-assign vns_csrbank3_dfii_control0_r = vns_interface3_bank_bus_dat_w[3:0];
-assign vns_csrbank3_dfii_control0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 1'd0));
-assign vns_csrbank3_dfii_control0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 1'd0));
-assign vns_csrbank3_dfii_pi0_command0_r = vns_interface3_bank_bus_dat_w[5:0];
-assign vns_csrbank3_dfii_pi0_command0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 1'd1));
-assign vns_csrbank3_dfii_pi0_command0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 1'd1));
-assign soc_sdram_phaseinjector0_command_issue_r = vns_interface3_bank_bus_dat_w[0];
-assign soc_sdram_phaseinjector0_command_issue_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 2'd2));
-assign soc_sdram_phaseinjector0_command_issue_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 2'd2));
-assign vns_csrbank3_dfii_pi0_address1_r = vns_interface3_bank_bus_dat_w[6:0];
-assign vns_csrbank3_dfii_pi0_address1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 2'd3));
-assign vns_csrbank3_dfii_pi0_address1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 2'd3));
-assign vns_csrbank3_dfii_pi0_address0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_address0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 3'd4));
-assign vns_csrbank3_dfii_pi0_address0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 3'd4));
-assign vns_csrbank3_dfii_pi0_baddress0_r = vns_interface3_bank_bus_dat_w[2:0];
-assign vns_csrbank3_dfii_pi0_baddress0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 3'd5));
-assign vns_csrbank3_dfii_pi0_baddress0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 3'd5));
-assign vns_csrbank3_dfii_pi0_wrdata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_wrdata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 3'd6));
-assign vns_csrbank3_dfii_pi0_wrdata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 3'd6));
-assign vns_csrbank3_dfii_pi0_wrdata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_wrdata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 3'd7));
-assign vns_csrbank3_dfii_pi0_wrdata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 3'd7));
-assign vns_csrbank3_dfii_pi0_wrdata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_wrdata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd8));
-assign vns_csrbank3_dfii_pi0_wrdata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd8));
-assign vns_csrbank3_dfii_pi0_wrdata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_wrdata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd9));
-assign vns_csrbank3_dfii_pi0_wrdata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd9));
-assign vns_csrbank3_dfii_pi0_rddata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_rddata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd10));
-assign vns_csrbank3_dfii_pi0_rddata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd10));
-assign vns_csrbank3_dfii_pi0_rddata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_rddata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd11));
-assign vns_csrbank3_dfii_pi0_rddata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd11));
-assign vns_csrbank3_dfii_pi0_rddata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_rddata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd12));
-assign vns_csrbank3_dfii_pi0_rddata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd12));
-assign vns_csrbank3_dfii_pi0_rddata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi0_rddata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd13));
-assign vns_csrbank3_dfii_pi0_rddata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd13));
-assign vns_csrbank3_dfii_pi1_command0_r = vns_interface3_bank_bus_dat_w[5:0];
-assign vns_csrbank3_dfii_pi1_command0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd14));
-assign vns_csrbank3_dfii_pi1_command0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd14));
-assign soc_sdram_phaseinjector1_command_issue_r = vns_interface3_bank_bus_dat_w[0];
-assign soc_sdram_phaseinjector1_command_issue_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 4'd15));
-assign soc_sdram_phaseinjector1_command_issue_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 4'd15));
-assign vns_csrbank3_dfii_pi1_address1_r = vns_interface3_bank_bus_dat_w[6:0];
-assign vns_csrbank3_dfii_pi1_address1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd16));
-assign vns_csrbank3_dfii_pi1_address1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd16));
-assign vns_csrbank3_dfii_pi1_address0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_address0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd17));
-assign vns_csrbank3_dfii_pi1_address0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd17));
-assign vns_csrbank3_dfii_pi1_baddress0_r = vns_interface3_bank_bus_dat_w[2:0];
-assign vns_csrbank3_dfii_pi1_baddress0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd18));
-assign vns_csrbank3_dfii_pi1_baddress0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd18));
-assign vns_csrbank3_dfii_pi1_wrdata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_wrdata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd19));
-assign vns_csrbank3_dfii_pi1_wrdata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd19));
-assign vns_csrbank3_dfii_pi1_wrdata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_wrdata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd20));
-assign vns_csrbank3_dfii_pi1_wrdata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd20));
-assign vns_csrbank3_dfii_pi1_wrdata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_wrdata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd21));
-assign vns_csrbank3_dfii_pi1_wrdata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd21));
-assign vns_csrbank3_dfii_pi1_wrdata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_wrdata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd22));
-assign vns_csrbank3_dfii_pi1_wrdata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd22));
-assign vns_csrbank3_dfii_pi1_rddata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_rddata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd23));
-assign vns_csrbank3_dfii_pi1_rddata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd23));
-assign vns_csrbank3_dfii_pi1_rddata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_rddata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd24));
-assign vns_csrbank3_dfii_pi1_rddata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd24));
-assign vns_csrbank3_dfii_pi1_rddata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_rddata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd25));
-assign vns_csrbank3_dfii_pi1_rddata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd25));
-assign vns_csrbank3_dfii_pi1_rddata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi1_rddata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd26));
-assign vns_csrbank3_dfii_pi1_rddata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd26));
-assign vns_csrbank3_dfii_pi2_command0_r = vns_interface3_bank_bus_dat_w[5:0];
-assign vns_csrbank3_dfii_pi2_command0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd27));
-assign vns_csrbank3_dfii_pi2_command0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd27));
-assign soc_sdram_phaseinjector2_command_issue_r = vns_interface3_bank_bus_dat_w[0];
-assign soc_sdram_phaseinjector2_command_issue_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd28));
-assign soc_sdram_phaseinjector2_command_issue_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd28));
-assign vns_csrbank3_dfii_pi2_address1_r = vns_interface3_bank_bus_dat_w[6:0];
-assign vns_csrbank3_dfii_pi2_address1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd29));
-assign vns_csrbank3_dfii_pi2_address1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd29));
-assign vns_csrbank3_dfii_pi2_address0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_address0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd30));
-assign vns_csrbank3_dfii_pi2_address0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd30));
-assign vns_csrbank3_dfii_pi2_baddress0_r = vns_interface3_bank_bus_dat_w[2:0];
-assign vns_csrbank3_dfii_pi2_baddress0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 5'd31));
-assign vns_csrbank3_dfii_pi2_baddress0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 5'd31));
-assign vns_csrbank3_dfii_pi2_wrdata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_wrdata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd32));
-assign vns_csrbank3_dfii_pi2_wrdata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd32));
-assign vns_csrbank3_dfii_pi2_wrdata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_wrdata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd33));
-assign vns_csrbank3_dfii_pi2_wrdata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd33));
-assign vns_csrbank3_dfii_pi2_wrdata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_wrdata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd34));
-assign vns_csrbank3_dfii_pi2_wrdata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd34));
-assign vns_csrbank3_dfii_pi2_wrdata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_wrdata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd35));
-assign vns_csrbank3_dfii_pi2_wrdata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd35));
-assign vns_csrbank3_dfii_pi2_rddata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_rddata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd36));
-assign vns_csrbank3_dfii_pi2_rddata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd36));
-assign vns_csrbank3_dfii_pi2_rddata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_rddata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd37));
-assign vns_csrbank3_dfii_pi2_rddata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd37));
-assign vns_csrbank3_dfii_pi2_rddata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_rddata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd38));
-assign vns_csrbank3_dfii_pi2_rddata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd38));
-assign vns_csrbank3_dfii_pi2_rddata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi2_rddata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd39));
-assign vns_csrbank3_dfii_pi2_rddata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd39));
-assign vns_csrbank3_dfii_pi3_command0_r = vns_interface3_bank_bus_dat_w[5:0];
-assign vns_csrbank3_dfii_pi3_command0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd40));
-assign vns_csrbank3_dfii_pi3_command0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd40));
-assign soc_sdram_phaseinjector3_command_issue_r = vns_interface3_bank_bus_dat_w[0];
-assign soc_sdram_phaseinjector3_command_issue_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd41));
-assign soc_sdram_phaseinjector3_command_issue_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd41));
-assign vns_csrbank3_dfii_pi3_address1_r = vns_interface3_bank_bus_dat_w[6:0];
-assign vns_csrbank3_dfii_pi3_address1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd42));
-assign vns_csrbank3_dfii_pi3_address1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd42));
-assign vns_csrbank3_dfii_pi3_address0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_address0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd43));
-assign vns_csrbank3_dfii_pi3_address0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd43));
-assign vns_csrbank3_dfii_pi3_baddress0_r = vns_interface3_bank_bus_dat_w[2:0];
-assign vns_csrbank3_dfii_pi3_baddress0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd44));
-assign vns_csrbank3_dfii_pi3_baddress0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd44));
-assign vns_csrbank3_dfii_pi3_wrdata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_wrdata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd45));
-assign vns_csrbank3_dfii_pi3_wrdata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd45));
-assign vns_csrbank3_dfii_pi3_wrdata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_wrdata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd46));
-assign vns_csrbank3_dfii_pi3_wrdata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd46));
-assign vns_csrbank3_dfii_pi3_wrdata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_wrdata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd47));
-assign vns_csrbank3_dfii_pi3_wrdata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd47));
-assign vns_csrbank3_dfii_pi3_wrdata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_wrdata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd48));
-assign vns_csrbank3_dfii_pi3_wrdata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd48));
-assign vns_csrbank3_dfii_pi3_rddata3_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_rddata3_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd49));
-assign vns_csrbank3_dfii_pi3_rddata3_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd49));
-assign vns_csrbank3_dfii_pi3_rddata2_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_rddata2_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd50));
-assign vns_csrbank3_dfii_pi3_rddata2_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd50));
-assign vns_csrbank3_dfii_pi3_rddata1_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_rddata1_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd51));
-assign vns_csrbank3_dfii_pi3_rddata1_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd51));
-assign vns_csrbank3_dfii_pi3_rddata0_r = vns_interface3_bank_bus_dat_w[7:0];
-assign vns_csrbank3_dfii_pi3_rddata0_re = ((vns_csrbank3_sel & vns_interface3_bank_bus_we) & (vns_interface3_bank_bus_adr[5:0] == 6'd52));
-assign vns_csrbank3_dfii_pi3_rddata0_we = ((vns_csrbank3_sel & (~vns_interface3_bank_bus_we)) & (vns_interface3_bank_bus_adr[5:0] == 6'd52));
-assign vns_csrbank3_dfii_control0_w = soc_sdram_storage[3:0];
-assign vns_csrbank3_dfii_pi0_command0_w = soc_sdram_phaseinjector0_command_storage[5:0];
-assign vns_csrbank3_dfii_pi0_address1_w = soc_sdram_phaseinjector0_address_storage[14:8];
-assign vns_csrbank3_dfii_pi0_address0_w = soc_sdram_phaseinjector0_address_storage[7:0];
-assign vns_csrbank3_dfii_pi0_baddress0_w = soc_sdram_phaseinjector0_baddress_storage[2:0];
-assign vns_csrbank3_dfii_pi0_wrdata3_w = soc_sdram_phaseinjector0_wrdata_storage[31:24];
-assign vns_csrbank3_dfii_pi0_wrdata2_w = soc_sdram_phaseinjector0_wrdata_storage[23:16];
-assign vns_csrbank3_dfii_pi0_wrdata1_w = soc_sdram_phaseinjector0_wrdata_storage[15:8];
-assign vns_csrbank3_dfii_pi0_wrdata0_w = soc_sdram_phaseinjector0_wrdata_storage[7:0];
-assign vns_csrbank3_dfii_pi0_rddata3_w = soc_sdram_phaseinjector0_status[31:24];
-assign vns_csrbank3_dfii_pi0_rddata2_w = soc_sdram_phaseinjector0_status[23:16];
-assign vns_csrbank3_dfii_pi0_rddata1_w = soc_sdram_phaseinjector0_status[15:8];
-assign vns_csrbank3_dfii_pi0_rddata0_w = soc_sdram_phaseinjector0_status[7:0];
-assign soc_sdram_phaseinjector0_we = vns_csrbank3_dfii_pi0_rddata0_we;
-assign vns_csrbank3_dfii_pi1_command0_w = soc_sdram_phaseinjector1_command_storage[5:0];
-assign vns_csrbank3_dfii_pi1_address1_w = soc_sdram_phaseinjector1_address_storage[14:8];
-assign vns_csrbank3_dfii_pi1_address0_w = soc_sdram_phaseinjector1_address_storage[7:0];
-assign vns_csrbank3_dfii_pi1_baddress0_w = soc_sdram_phaseinjector1_baddress_storage[2:0];
-assign vns_csrbank3_dfii_pi1_wrdata3_w = soc_sdram_phaseinjector1_wrdata_storage[31:24];
-assign vns_csrbank3_dfii_pi1_wrdata2_w = soc_sdram_phaseinjector1_wrdata_storage[23:16];
-assign vns_csrbank3_dfii_pi1_wrdata1_w = soc_sdram_phaseinjector1_wrdata_storage[15:8];
-assign vns_csrbank3_dfii_pi1_wrdata0_w = soc_sdram_phaseinjector1_wrdata_storage[7:0];
-assign vns_csrbank3_dfii_pi1_rddata3_w = soc_sdram_phaseinjector1_status[31:24];
-assign vns_csrbank3_dfii_pi1_rddata2_w = soc_sdram_phaseinjector1_status[23:16];
-assign vns_csrbank3_dfii_pi1_rddata1_w = soc_sdram_phaseinjector1_status[15:8];
-assign vns_csrbank3_dfii_pi1_rddata0_w = soc_sdram_phaseinjector1_status[7:0];
-assign soc_sdram_phaseinjector1_we = vns_csrbank3_dfii_pi1_rddata0_we;
-assign vns_csrbank3_dfii_pi2_command0_w = soc_sdram_phaseinjector2_command_storage[5:0];
-assign vns_csrbank3_dfii_pi2_address1_w = soc_sdram_phaseinjector2_address_storage[14:8];
-assign vns_csrbank3_dfii_pi2_address0_w = soc_sdram_phaseinjector2_address_storage[7:0];
-assign vns_csrbank3_dfii_pi2_baddress0_w = soc_sdram_phaseinjector2_baddress_storage[2:0];
-assign vns_csrbank3_dfii_pi2_wrdata3_w = soc_sdram_phaseinjector2_wrdata_storage[31:24];
-assign vns_csrbank3_dfii_pi2_wrdata2_w = soc_sdram_phaseinjector2_wrdata_storage[23:16];
-assign vns_csrbank3_dfii_pi2_wrdata1_w = soc_sdram_phaseinjector2_wrdata_storage[15:8];
-assign vns_csrbank3_dfii_pi2_wrdata0_w = soc_sdram_phaseinjector2_wrdata_storage[7:0];
-assign vns_csrbank3_dfii_pi2_rddata3_w = soc_sdram_phaseinjector2_status[31:24];
-assign vns_csrbank3_dfii_pi2_rddata2_w = soc_sdram_phaseinjector2_status[23:16];
-assign vns_csrbank3_dfii_pi2_rddata1_w = soc_sdram_phaseinjector2_status[15:8];
-assign vns_csrbank3_dfii_pi2_rddata0_w = soc_sdram_phaseinjector2_status[7:0];
-assign soc_sdram_phaseinjector2_we = vns_csrbank3_dfii_pi2_rddata0_we;
-assign vns_csrbank3_dfii_pi3_command0_w = soc_sdram_phaseinjector3_command_storage[5:0];
-assign vns_csrbank3_dfii_pi3_address1_w = soc_sdram_phaseinjector3_address_storage[14:8];
-assign vns_csrbank3_dfii_pi3_address0_w = soc_sdram_phaseinjector3_address_storage[7:0];
-assign vns_csrbank3_dfii_pi3_baddress0_w = soc_sdram_phaseinjector3_baddress_storage[2:0];
-assign vns_csrbank3_dfii_pi3_wrdata3_w = soc_sdram_phaseinjector3_wrdata_storage[31:24];
-assign vns_csrbank3_dfii_pi3_wrdata2_w = soc_sdram_phaseinjector3_wrdata_storage[23:16];
-assign vns_csrbank3_dfii_pi3_wrdata1_w = soc_sdram_phaseinjector3_wrdata_storage[15:8];
-assign vns_csrbank3_dfii_pi3_wrdata0_w = soc_sdram_phaseinjector3_wrdata_storage[7:0];
-assign vns_csrbank3_dfii_pi3_rddata3_w = soc_sdram_phaseinjector3_status[31:24];
-assign vns_csrbank3_dfii_pi3_rddata2_w = soc_sdram_phaseinjector3_status[23:16];
-assign vns_csrbank3_dfii_pi3_rddata1_w = soc_sdram_phaseinjector3_status[15:8];
-assign vns_csrbank3_dfii_pi3_rddata0_w = soc_sdram_phaseinjector3_status[7:0];
-assign soc_sdram_phaseinjector3_we = vns_csrbank3_dfii_pi3_rddata0_we;
-assign vns_csrbank4_sel = (vns_interface4_bank_bus_adr[13:9] == 3'd4);
-assign vns_csrbank4_load3_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_load3_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 1'd0));
-assign vns_csrbank4_load3_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 1'd0));
-assign vns_csrbank4_load2_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_load2_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 1'd1));
-assign vns_csrbank4_load2_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 1'd1));
-assign vns_csrbank4_load1_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_load1_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 2'd2));
-assign vns_csrbank4_load1_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 2'd2));
-assign vns_csrbank4_load0_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_load0_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 2'd3));
-assign vns_csrbank4_load0_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 2'd3));
-assign vns_csrbank4_reload3_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_reload3_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 3'd4));
-assign vns_csrbank4_reload3_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 3'd4));
-assign vns_csrbank4_reload2_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_reload2_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 3'd5));
-assign vns_csrbank4_reload2_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 3'd5));
-assign vns_csrbank4_reload1_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_reload1_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 3'd6));
-assign vns_csrbank4_reload1_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 3'd6));
-assign vns_csrbank4_reload0_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_reload0_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 3'd7));
-assign vns_csrbank4_reload0_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 3'd7));
-assign vns_csrbank4_en0_r = vns_interface4_bank_bus_dat_w[0];
-assign vns_csrbank4_en0_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd8));
-assign vns_csrbank4_en0_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd8));
-assign vns_csrbank4_update_value0_r = vns_interface4_bank_bus_dat_w[0];
-assign vns_csrbank4_update_value0_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd9));
-assign vns_csrbank4_update_value0_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd9));
-assign vns_csrbank4_value3_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_value3_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd10));
-assign vns_csrbank4_value3_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd10));
-assign vns_csrbank4_value2_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_value2_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd11));
-assign vns_csrbank4_value2_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd11));
-assign vns_csrbank4_value1_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_value1_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd12));
-assign vns_csrbank4_value1_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd12));
-assign vns_csrbank4_value0_r = vns_interface4_bank_bus_dat_w[7:0];
-assign vns_csrbank4_value0_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd13));
-assign vns_csrbank4_value0_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd13));
-assign soc_litedramcore_timer_eventmanager_status_r = vns_interface4_bank_bus_dat_w[0];
-assign soc_litedramcore_timer_eventmanager_status_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd14));
-assign soc_litedramcore_timer_eventmanager_status_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd14));
-assign soc_litedramcore_timer_eventmanager_pending_r = vns_interface4_bank_bus_dat_w[0];
-assign soc_litedramcore_timer_eventmanager_pending_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 4'd15));
-assign soc_litedramcore_timer_eventmanager_pending_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 4'd15));
-assign vns_csrbank4_ev_enable0_r = vns_interface4_bank_bus_dat_w[0];
-assign vns_csrbank4_ev_enable0_re = ((vns_csrbank4_sel & vns_interface4_bank_bus_we) & (vns_interface4_bank_bus_adr[4:0] == 5'd16));
-assign vns_csrbank4_ev_enable0_we = ((vns_csrbank4_sel & (~vns_interface4_bank_bus_we)) & (vns_interface4_bank_bus_adr[4:0] == 5'd16));
-assign vns_csrbank4_load3_w = soc_litedramcore_timer_load_storage[31:24];
-assign vns_csrbank4_load2_w = soc_litedramcore_timer_load_storage[23:16];
-assign vns_csrbank4_load1_w = soc_litedramcore_timer_load_storage[15:8];
-assign vns_csrbank4_load0_w = soc_litedramcore_timer_load_storage[7:0];
-assign vns_csrbank4_reload3_w = soc_litedramcore_timer_reload_storage[31:24];
-assign vns_csrbank4_reload2_w = soc_litedramcore_timer_reload_storage[23:16];
-assign vns_csrbank4_reload1_w = soc_litedramcore_timer_reload_storage[15:8];
-assign vns_csrbank4_reload0_w = soc_litedramcore_timer_reload_storage[7:0];
-assign vns_csrbank4_en0_w = soc_litedramcore_timer_en_storage;
-assign vns_csrbank4_update_value0_w = soc_litedramcore_timer_update_value_storage;
-assign vns_csrbank4_value3_w = soc_litedramcore_timer_value_status[31:24];
-assign vns_csrbank4_value2_w = soc_litedramcore_timer_value_status[23:16];
-assign vns_csrbank4_value1_w = soc_litedramcore_timer_value_status[15:8];
-assign vns_csrbank4_value0_w = soc_litedramcore_timer_value_status[7:0];
-assign soc_litedramcore_timer_value_we = vns_csrbank4_value0_we;
-assign vns_csrbank4_ev_enable0_w = soc_litedramcore_timer_eventmanager_storage;
-assign vns_csrbank5_sel = (vns_interface5_bank_bus_adr[13:9] == 2'd3);
-assign soc_litedramcore_uart_rxtx_r = vns_interface5_bank_bus_dat_w[7:0];
-assign soc_litedramcore_uart_rxtx_re = ((vns_csrbank5_sel & vns_interface5_bank_bus_we) & (vns_interface5_bank_bus_adr[2:0] == 1'd0));
-assign soc_litedramcore_uart_rxtx_we = ((vns_csrbank5_sel & (~vns_interface5_bank_bus_we)) & (vns_interface5_bank_bus_adr[2:0] == 1'd0));
-assign vns_csrbank5_txfull_r = vns_interface5_bank_bus_dat_w[0];
-assign vns_csrbank5_txfull_re = ((vns_csrbank5_sel & vns_interface5_bank_bus_we) & (vns_interface5_bank_bus_adr[2:0] == 1'd1));
-assign vns_csrbank5_txfull_we = ((vns_csrbank5_sel & (~vns_interface5_bank_bus_we)) & (vns_interface5_bank_bus_adr[2:0] == 1'd1));
-assign vns_csrbank5_rxempty_r = vns_interface5_bank_bus_dat_w[0];
-assign vns_csrbank5_rxempty_re = ((vns_csrbank5_sel & vns_interface5_bank_bus_we) & (vns_interface5_bank_bus_adr[2:0] == 2'd2));
-assign vns_csrbank5_rxempty_we = ((vns_csrbank5_sel & (~vns_interface5_bank_bus_we)) & (vns_interface5_bank_bus_adr[2:0] == 2'd2));
-assign soc_litedramcore_uart_eventmanager_status_r = vns_interface5_bank_bus_dat_w[1:0];
-assign soc_litedramcore_uart_eventmanager_status_re = ((vns_csrbank5_sel & vns_interface5_bank_bus_we) & (vns_interface5_bank_bus_adr[2:0] == 2'd3));
-assign soc_litedramcore_uart_eventmanager_status_we = ((vns_csrbank5_sel & (~vns_interface5_bank_bus_we)) & (vns_interface5_bank_bus_adr[2:0] == 2'd3));
-assign soc_litedramcore_uart_eventmanager_pending_r = vns_interface5_bank_bus_dat_w[1:0];
-assign soc_litedramcore_uart_eventmanager_pending_re = ((vns_csrbank5_sel & vns_interface5_bank_bus_we) & (vns_interface5_bank_bus_adr[2:0] == 3'd4));
-assign soc_litedramcore_uart_eventmanager_pending_we = ((vns_csrbank5_sel & (~vns_interface5_bank_bus_we)) & (vns_interface5_bank_bus_adr[2:0] == 3'd4));
-assign vns_csrbank5_ev_enable0_r = vns_interface5_bank_bus_dat_w[1:0];
-assign vns_csrbank5_ev_enable0_re = ((vns_csrbank5_sel & vns_interface5_bank_bus_we) & (vns_interface5_bank_bus_adr[2:0] == 3'd5));
-assign vns_csrbank5_ev_enable0_we = ((vns_csrbank5_sel & (~vns_interface5_bank_bus_we)) & (vns_interface5_bank_bus_adr[2:0] == 3'd5));
-assign vns_csrbank5_txfull_w = soc_litedramcore_uart_txfull_status;
-assign soc_litedramcore_uart_txfull_we = vns_csrbank5_txfull_we;
-assign vns_csrbank5_rxempty_w = soc_litedramcore_uart_rxempty_status;
-assign soc_litedramcore_uart_rxempty_we = vns_csrbank5_rxempty_we;
-assign vns_csrbank5_ev_enable0_w = soc_litedramcore_uart_eventmanager_storage[1:0];
-assign vns_csrbank6_sel = (vns_interface6_bank_bus_adr[13:9] == 2'd2);
-assign vns_csrbank6_tuning_word3_r = vns_interface6_bank_bus_dat_w[7:0];
-assign vns_csrbank6_tuning_word3_re = ((vns_csrbank6_sel & vns_interface6_bank_bus_we) & (vns_interface6_bank_bus_adr[1:0] == 1'd0));
-assign vns_csrbank6_tuning_word3_we = ((vns_csrbank6_sel & (~vns_interface6_bank_bus_we)) & (vns_interface6_bank_bus_adr[1:0] == 1'd0));
-assign vns_csrbank6_tuning_word2_r = vns_interface6_bank_bus_dat_w[7:0];
-assign vns_csrbank6_tuning_word2_re = ((vns_csrbank6_sel & vns_interface6_bank_bus_we) & (vns_interface6_bank_bus_adr[1:0] == 1'd1));
-assign vns_csrbank6_tuning_word2_we = ((vns_csrbank6_sel & (~vns_interface6_bank_bus_we)) & (vns_interface6_bank_bus_adr[1:0] == 1'd1));
-assign vns_csrbank6_tuning_word1_r = vns_interface6_bank_bus_dat_w[7:0];
-assign vns_csrbank6_tuning_word1_re = ((vns_csrbank6_sel & vns_interface6_bank_bus_we) & (vns_interface6_bank_bus_adr[1:0] == 2'd2));
-assign vns_csrbank6_tuning_word1_we = ((vns_csrbank6_sel & (~vns_interface6_bank_bus_we)) & (vns_interface6_bank_bus_adr[1:0] == 2'd2));
-assign vns_csrbank6_tuning_word0_r = vns_interface6_bank_bus_dat_w[7:0];
-assign vns_csrbank6_tuning_word0_re = ((vns_csrbank6_sel & vns_interface6_bank_bus_we) & (vns_interface6_bank_bus_adr[1:0] == 2'd3));
-assign vns_csrbank6_tuning_word0_we = ((vns_csrbank6_sel & (~vns_interface6_bank_bus_we)) & (vns_interface6_bank_bus_adr[1:0] == 2'd3));
-assign vns_csrbank6_tuning_word3_w = soc_litedramcore_storage[31:24];
-assign vns_csrbank6_tuning_word2_w = soc_litedramcore_storage[23:16];
-assign vns_csrbank6_tuning_word1_w = soc_litedramcore_storage[15:8];
-assign vns_csrbank6_tuning_word0_w = soc_litedramcore_storage[7:0];
-assign vns_adr = soc_litedramcore_interface_adr;
-assign vns_we = soc_litedramcore_interface_we;
-assign vns_dat_w = soc_litedramcore_interface_dat_w;
-assign soc_litedramcore_interface_dat_r = vns_dat_r;
-assign vns_interface0_bank_bus_adr = vns_adr;
-assign vns_interface1_bank_bus_adr = vns_adr;
-assign vns_interface2_bank_bus_adr = vns_adr;
-assign vns_interface3_bank_bus_adr = vns_adr;
-assign vns_interface4_bank_bus_adr = vns_adr;
-assign vns_interface5_bank_bus_adr = vns_adr;
-assign vns_interface6_bank_bus_adr = vns_adr;
-assign vns_interface0_bank_bus_we = vns_we;
-assign vns_interface1_bank_bus_we = vns_we;
-assign vns_interface2_bank_bus_we = vns_we;
-assign vns_interface3_bank_bus_we = vns_we;
-assign vns_interface4_bank_bus_we = vns_we;
-assign vns_interface5_bank_bus_we = vns_we;
-assign vns_interface6_bank_bus_we = vns_we;
-assign vns_interface0_bank_bus_dat_w = vns_dat_w;
-assign vns_interface1_bank_bus_dat_w = vns_dat_w;
-assign vns_interface2_bank_bus_dat_w = vns_dat_w;
-assign vns_interface3_bank_bus_dat_w = vns_dat_w;
-assign vns_interface4_bank_bus_dat_w = vns_dat_w;
-assign vns_interface5_bank_bus_dat_w = vns_dat_w;
-assign vns_interface6_bank_bus_dat_w = vns_dat_w;
-assign vns_dat_r = ((((((vns_interface0_bank_bus_dat_r | vns_interface1_bank_bus_dat_r) | vns_interface2_bank_bus_dat_r) | vns_interface3_bank_bus_dat_r) | vns_interface4_bank_bus_dat_r) | vns_interface5_bank_bus_dat_r) | vns_interface6_bank_bus_dat_r);
// synthesis translate_off
-reg dummy_d_338;
+reg dummy_d_274;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed0 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[0];
- end
+ litedramcore_choose_req_want_reads <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[1];
end
2'd2: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[2];
end
2'd3: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[3];
end
3'd4: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[4];
end
3'd5: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[5];
end
3'd6: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[6];
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ 4'd9: begin
+ end
+ 4'd10: begin
end
default: begin
- vns_rhs_array_muxed0 <= soc_sdram_choose_cmd_valids[7];
+ litedramcore_choose_req_want_reads <= 1'd1;
end
endcase
// synthesis translate_off
- dummy_d_338 = dummy_s;
+ dummy_d_274 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_339;
+reg dummy_d_275;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed1 <= 15'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine0_cmd_payload_a;
- end
+ litedramcore_choose_req_want_writes <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine1_cmd_payload_a;
+ litedramcore_choose_req_want_writes <= 1'd1;
end
2'd2: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine2_cmd_payload_a;
end
2'd3: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine3_cmd_payload_a;
end
3'd4: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine4_cmd_payload_a;
end
3'd5: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine5_cmd_payload_a;
end
3'd6: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine6_cmd_payload_a;
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ 4'd9: begin
+ end
+ 4'd10: begin
end
default: begin
- vns_rhs_array_muxed1 <= soc_sdram_bankmachine7_cmd_payload_a;
end
endcase
// synthesis translate_off
- dummy_d_339 = dummy_s;
+ dummy_d_275 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_340;
+reg dummy_d_276;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed2 <= 3'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine0_cmd_payload_ba;
- end
+ litedramcore_choose_req_cmd_ready <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine1_cmd_payload_ba;
+ if (1'd0) begin
+ litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed));
+ end else begin
+ litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed;
+ end
end
2'd2: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine2_cmd_payload_ba;
end
2'd3: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine3_cmd_payload_ba;
end
3'd4: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine4_cmd_payload_ba;
end
3'd5: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine5_cmd_payload_ba;
end
3'd6: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine6_cmd_payload_ba;
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ 4'd9: begin
+ end
+ 4'd10: begin
end
default: begin
- vns_rhs_array_muxed2 <= soc_sdram_bankmachine7_cmd_payload_ba;
+ if (1'd0) begin
+ litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed));
+ end else begin
+ litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed;
+ end
end
endcase
// synthesis translate_off
- dummy_d_340 = dummy_s;
+ dummy_d_276 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_341;
+reg dummy_d_277;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed3 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine0_cmd_payload_is_read;
- end
+ litedramcore_en1 <= 1'd0;
+ case (multiplexer_state)
1'd1: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine1_cmd_payload_is_read;
+ litedramcore_en1 <= 1'd1;
end
2'd2: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine2_cmd_payload_is_read;
end
2'd3: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine3_cmd_payload_is_read;
end
3'd4: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine4_cmd_payload_is_read;
end
3'd5: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine5_cmd_payload_is_read;
end
3'd6: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine6_cmd_payload_is_read;
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ 4'd9: begin
+ end
+ 4'd10: begin
end
default: begin
- vns_rhs_array_muxed3 <= soc_sdram_bankmachine7_cmd_payload_is_read;
end
endcase
// synthesis translate_off
- dummy_d_341 = dummy_s;
+ dummy_d_277 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_342;
+reg dummy_d_278;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed4 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine0_cmd_payload_is_write;
- end
+ litedramcore_steerer_sel0 <= 2'd0;
+ case (multiplexer_state)
1'd1: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine1_cmd_payload_is_write;
+ litedramcore_steerer_sel0 <= 1'd0;
end
2'd2: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine2_cmd_payload_is_write;
+ litedramcore_steerer_sel0 <= 2'd3;
end
2'd3: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine3_cmd_payload_is_write;
end
3'd4: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine4_cmd_payload_is_write;
end
3'd5: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine5_cmd_payload_is_write;
end
3'd6: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine6_cmd_payload_is_write;
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ 4'd9: begin
+ end
+ 4'd10: begin
end
default: begin
- vns_rhs_array_muxed4 <= soc_sdram_bankmachine7_cmd_payload_is_write;
+ litedramcore_steerer_sel0 <= 1'd0;
end
endcase
// synthesis translate_off
- dummy_d_342 = dummy_s;
+ dummy_d_278 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_343;
+reg dummy_d_279;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed5 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine0_cmd_payload_is_cmd;
- end
+ litedramcore_steerer_sel1 <= 2'd0;
+ case (multiplexer_state)
1'd1: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine1_cmd_payload_is_cmd;
+ litedramcore_steerer_sel1 <= 1'd0;
end
2'd2: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine2_cmd_payload_is_cmd;
end
2'd3: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine3_cmd_payload_is_cmd;
end
3'd4: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine4_cmd_payload_is_cmd;
end
3'd5: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine5_cmd_payload_is_cmd;
end
3'd6: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine6_cmd_payload_is_cmd;
+ end
+ 3'd7: begin
+ end
+ 4'd8: begin
+ end
+ 4'd9: begin
+ end
+ 4'd10: begin
end
default: begin
- vns_rhs_array_muxed5 <= soc_sdram_bankmachine7_cmd_payload_is_cmd;
+ litedramcore_steerer_sel1 <= 1'd1;
end
endcase
// synthesis translate_off
- dummy_d_343 = dummy_s;
+ dummy_d_279 = dummy_s;
// synthesis translate_on
end
+assign roundrobin0_request = {(((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((locked0 | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin0_ce = ((~litedramcore_interface_bank0_valid) & (~litedramcore_interface_bank0_lock));
+assign litedramcore_interface_bank0_addr = rhs_array_muxed12;
+assign litedramcore_interface_bank0_we = rhs_array_muxed13;
+assign litedramcore_interface_bank0_valid = rhs_array_muxed14;
+assign roundrobin1_request = {(((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((locked1 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin1_ce = ((~litedramcore_interface_bank1_valid) & (~litedramcore_interface_bank1_lock));
+assign litedramcore_interface_bank1_addr = rhs_array_muxed15;
+assign litedramcore_interface_bank1_we = rhs_array_muxed16;
+assign litedramcore_interface_bank1_valid = rhs_array_muxed17;
+assign roundrobin2_request = {(((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((locked2 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin2_ce = ((~litedramcore_interface_bank2_valid) & (~litedramcore_interface_bank2_lock));
+assign litedramcore_interface_bank2_addr = rhs_array_muxed18;
+assign litedramcore_interface_bank2_we = rhs_array_muxed19;
+assign litedramcore_interface_bank2_valid = rhs_array_muxed20;
+assign roundrobin3_request = {(((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((locked3 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin3_ce = ((~litedramcore_interface_bank3_valid) & (~litedramcore_interface_bank3_lock));
+assign litedramcore_interface_bank3_addr = rhs_array_muxed21;
+assign litedramcore_interface_bank3_we = rhs_array_muxed22;
+assign litedramcore_interface_bank3_valid = rhs_array_muxed23;
+assign roundrobin4_request = {(((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((locked4 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin4_ce = ((~litedramcore_interface_bank4_valid) & (~litedramcore_interface_bank4_lock));
+assign litedramcore_interface_bank4_addr = rhs_array_muxed24;
+assign litedramcore_interface_bank4_we = rhs_array_muxed25;
+assign litedramcore_interface_bank4_valid = rhs_array_muxed26;
+assign roundrobin5_request = {(((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((locked5 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin5_ce = ((~litedramcore_interface_bank5_valid) & (~litedramcore_interface_bank5_lock));
+assign litedramcore_interface_bank5_addr = rhs_array_muxed27;
+assign litedramcore_interface_bank5_we = rhs_array_muxed28;
+assign litedramcore_interface_bank5_valid = rhs_array_muxed29;
+assign roundrobin6_request = {(((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((locked6 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin6_ce = ((~litedramcore_interface_bank6_valid) & (~litedramcore_interface_bank6_lock));
+assign litedramcore_interface_bank6_addr = rhs_array_muxed30;
+assign litedramcore_interface_bank6_we = rhs_array_muxed31;
+assign litedramcore_interface_bank6_valid = rhs_array_muxed32;
+assign roundrobin7_request = {(((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((locked7 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))))) & user_port_cmd_valid)};
+assign roundrobin7_ce = ((~litedramcore_interface_bank7_valid) & (~litedramcore_interface_bank7_lock));
+assign litedramcore_interface_bank7_addr = rhs_array_muxed33;
+assign litedramcore_interface_bank7_we = rhs_array_muxed34;
+assign litedramcore_interface_bank7_valid = rhs_array_muxed35;
+assign user_port_cmd_ready = ((((((((1'd0 | (((roundrobin0_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((locked0 | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank0_ready)) | (((roundrobin1_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((locked1 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank1_ready)) | (((roundrobin2_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((locked2 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank2_ready)) | (((roundrobin3_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((locked3 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank3_ready)) | (((roundrobin4_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((locked4 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank4_ready)) | (((roundrobin5_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((locked5 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank5_ready)) | (((roundrobin6_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((locked6 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank6_ready)) | (((roundrobin7_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((locked7 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0)))))) & litedramcore_interface_bank7_ready));
+assign user_port_wdata_ready = new_master_wdata_ready2;
+assign user_port_rdata_valid = new_master_rdata_valid8;
// synthesis translate_off
-reg dummy_d_344;
+reg dummy_d_280;
// synthesis translate_on
always @(*) begin
- vns_t_array_muxed0 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
- 1'd0: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine0_cmd_payload_cas;
- end
+ litedramcore_interface_wdata <= 128'd0;
+ case ({new_master_wdata_ready2})
1'd1: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine1_cmd_payload_cas;
- end
- 2'd2: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine2_cmd_payload_cas;
- end
- 2'd3: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine3_cmd_payload_cas;
- end
- 3'd4: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine4_cmd_payload_cas;
+ litedramcore_interface_wdata <= user_port_wdata_payload_data;
end
- 3'd5: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine5_cmd_payload_cas;
+ default: begin
+ litedramcore_interface_wdata <= 1'd0;
end
- 3'd6: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine6_cmd_payload_cas;
+ endcase
+// synthesis translate_off
+ dummy_d_280 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_281;
+// synthesis translate_on
+always @(*) begin
+ litedramcore_interface_wdata_we <= 16'd0;
+ case ({new_master_wdata_ready2})
+ 1'd1: begin
+ litedramcore_interface_wdata_we <= user_port_wdata_payload_we;
end
default: begin
- vns_t_array_muxed0 <= soc_sdram_bankmachine7_cmd_payload_cas;
+ litedramcore_interface_wdata_we <= 1'd0;
end
endcase
// synthesis translate_off
- dummy_d_344 = dummy_s;
+ dummy_d_281 = dummy_s;
// synthesis translate_on
end
+assign user_port_rdata_payload_data = litedramcore_interface_rdata;
+assign roundrobin0_grant = 1'd0;
+assign roundrobin1_grant = 1'd0;
+assign roundrobin2_grant = 1'd0;
+assign roundrobin3_grant = 1'd0;
+assign roundrobin4_grant = 1'd0;
+assign roundrobin5_grant = 1'd0;
+assign roundrobin6_grant = 1'd0;
+assign roundrobin7_grant = 1'd0;
// synthesis translate_off
-reg dummy_d_345;
+reg dummy_d_282;
+// synthesis translate_on
+always @(*) begin
+ csrbank0_sel <= 1'd0;
+ csrbank0_sel <= (interface0_bank_bus_adr[13:11] == 2'd2);
+ if (interface0_bank_bus_adr[0]) begin
+ csrbank0_sel <= 1'd0;
+ end
+// synthesis translate_off
+ dummy_d_282 = dummy_s;
+// synthesis translate_on
+end
+assign csrbank0_init_done0_r = interface0_bank_bus_dat_w[0];
+assign csrbank0_init_done0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[3] == 1'd0));
+assign csrbank0_init_done0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[3] == 1'd0));
+assign csrbank0_init_error0_r = interface0_bank_bus_dat_w[0];
+assign csrbank0_init_error0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[3] == 1'd1));
+assign csrbank0_init_error0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[3] == 1'd1));
+assign csrbank0_init_done0_w = init_done_storage;
+assign csrbank0_init_error0_w = init_error_storage;
+
+// synthesis translate_off
+reg dummy_d_283;
+// synthesis translate_on
+always @(*) begin
+ csrbank1_sel <= 1'd0;
+ csrbank1_sel <= (interface1_bank_bus_adr[13:11] == 1'd0);
+ if (interface1_bank_bus_adr[0]) begin
+ csrbank1_sel <= 1'd0;
+ end
+// synthesis translate_off
+ dummy_d_283 = dummy_s;
+// synthesis translate_on
+end
+assign csrbank1_half_sys8x_taps0_r = interface1_bank_bus_dat_w[4:0];
+assign csrbank1_half_sys8x_taps0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 1'd0));
+assign csrbank1_half_sys8x_taps0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 1'd0));
+assign csrbank1_wlevel_en0_r = interface1_bank_bus_dat_w[0];
+assign csrbank1_wlevel_en0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 1'd1));
+assign csrbank1_wlevel_en0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 1'd1));
+assign a7ddrphy_wlevel_strobe_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_wlevel_strobe_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 2'd2));
+assign a7ddrphy_wlevel_strobe_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 2'd2));
+assign a7ddrphy_cdly_rst_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_cdly_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 2'd3));
+assign a7ddrphy_cdly_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 2'd3));
+assign a7ddrphy_cdly_inc_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_cdly_inc_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 3'd4));
+assign a7ddrphy_cdly_inc_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 3'd4));
+assign csrbank1_dly_sel0_r = interface1_bank_bus_dat_w[1:0];
+assign csrbank1_dly_sel0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 3'd5));
+assign csrbank1_dly_sel0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 3'd5));
+assign a7ddrphy_rdly_dq_rst_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_rdly_dq_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 3'd6));
+assign a7ddrphy_rdly_dq_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 3'd6));
+assign a7ddrphy_rdly_dq_inc_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_rdly_dq_inc_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 3'd7));
+assign a7ddrphy_rdly_dq_inc_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 3'd7));
+assign a7ddrphy_rdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_rdly_dq_bitslip_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 4'd8));
+assign a7ddrphy_rdly_dq_bitslip_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 4'd8));
+assign a7ddrphy_rdly_dq_bitslip_r = interface1_bank_bus_dat_w[0];
+assign a7ddrphy_rdly_dq_bitslip_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[6:3] == 4'd9));
+assign a7ddrphy_rdly_dq_bitslip_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[6:3] == 4'd9));
+assign csrbank1_half_sys8x_taps0_w = a7ddrphy_half_sys8x_taps_storage[4:0];
+assign csrbank1_wlevel_en0_w = a7ddrphy_wlevel_en_storage;
+assign csrbank1_dly_sel0_w = a7ddrphy_dly_sel_storage[1:0];
+
+// synthesis translate_off
+reg dummy_d_284;
+// synthesis translate_on
+always @(*) begin
+ csrbank2_sel <= 1'd0;
+ csrbank2_sel <= (interface2_bank_bus_adr[13:11] == 1'd1);
+ if (interface2_bank_bus_adr[0]) begin
+ csrbank2_sel <= 1'd0;
+ end
+// synthesis translate_off
+ dummy_d_284 = dummy_s;
+// synthesis translate_on
+end
+assign csrbank2_dfii_control0_r = interface2_bank_bus_dat_w[3:0];
+assign csrbank2_dfii_control0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 1'd0));
+assign csrbank2_dfii_control0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 1'd0));
+assign csrbank2_dfii_pi0_command0_r = interface2_bank_bus_dat_w[5:0];
+assign csrbank2_dfii_pi0_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 1'd1));
+assign csrbank2_dfii_pi0_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 1'd1));
+assign litedramcore_phaseinjector0_command_issue_r = interface2_bank_bus_dat_w[0];
+assign litedramcore_phaseinjector0_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 2'd2));
+assign litedramcore_phaseinjector0_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 2'd2));
+assign csrbank2_dfii_pi0_address1_r = interface2_bank_bus_dat_w[6:0];
+assign csrbank2_dfii_pi0_address1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 2'd3));
+assign csrbank2_dfii_pi0_address1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 2'd3));
+assign csrbank2_dfii_pi0_address0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 3'd4));
+assign csrbank2_dfii_pi0_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 3'd4));
+assign csrbank2_dfii_pi0_baddress0_r = interface2_bank_bus_dat_w[2:0];
+assign csrbank2_dfii_pi0_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 3'd5));
+assign csrbank2_dfii_pi0_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 3'd5));
+assign csrbank2_dfii_pi0_wrdata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_wrdata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 3'd6));
+assign csrbank2_dfii_pi0_wrdata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 3'd6));
+assign csrbank2_dfii_pi0_wrdata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_wrdata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 3'd7));
+assign csrbank2_dfii_pi0_wrdata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 3'd7));
+assign csrbank2_dfii_pi0_wrdata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_wrdata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd8));
+assign csrbank2_dfii_pi0_wrdata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd8));
+assign csrbank2_dfii_pi0_wrdata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd9));
+assign csrbank2_dfii_pi0_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd9));
+assign csrbank2_dfii_pi0_rddata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_rddata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd10));
+assign csrbank2_dfii_pi0_rddata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd10));
+assign csrbank2_dfii_pi0_rddata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_rddata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd11));
+assign csrbank2_dfii_pi0_rddata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd11));
+assign csrbank2_dfii_pi0_rddata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_rddata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd12));
+assign csrbank2_dfii_pi0_rddata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd12));
+assign csrbank2_dfii_pi0_rddata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi0_rddata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd13));
+assign csrbank2_dfii_pi0_rddata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd13));
+assign csrbank2_dfii_pi1_command0_r = interface2_bank_bus_dat_w[5:0];
+assign csrbank2_dfii_pi1_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd14));
+assign csrbank2_dfii_pi1_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd14));
+assign litedramcore_phaseinjector1_command_issue_r = interface2_bank_bus_dat_w[0];
+assign litedramcore_phaseinjector1_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 4'd15));
+assign litedramcore_phaseinjector1_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 4'd15));
+assign csrbank2_dfii_pi1_address1_r = interface2_bank_bus_dat_w[6:0];
+assign csrbank2_dfii_pi1_address1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd16));
+assign csrbank2_dfii_pi1_address1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd16));
+assign csrbank2_dfii_pi1_address0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd17));
+assign csrbank2_dfii_pi1_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd17));
+assign csrbank2_dfii_pi1_baddress0_r = interface2_bank_bus_dat_w[2:0];
+assign csrbank2_dfii_pi1_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd18));
+assign csrbank2_dfii_pi1_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd18));
+assign csrbank2_dfii_pi1_wrdata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_wrdata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd19));
+assign csrbank2_dfii_pi1_wrdata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd19));
+assign csrbank2_dfii_pi1_wrdata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_wrdata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd20));
+assign csrbank2_dfii_pi1_wrdata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd20));
+assign csrbank2_dfii_pi1_wrdata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_wrdata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd21));
+assign csrbank2_dfii_pi1_wrdata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd21));
+assign csrbank2_dfii_pi1_wrdata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd22));
+assign csrbank2_dfii_pi1_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd22));
+assign csrbank2_dfii_pi1_rddata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_rddata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd23));
+assign csrbank2_dfii_pi1_rddata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd23));
+assign csrbank2_dfii_pi1_rddata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_rddata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd24));
+assign csrbank2_dfii_pi1_rddata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd24));
+assign csrbank2_dfii_pi1_rddata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_rddata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd25));
+assign csrbank2_dfii_pi1_rddata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd25));
+assign csrbank2_dfii_pi1_rddata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi1_rddata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd26));
+assign csrbank2_dfii_pi1_rddata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd26));
+assign csrbank2_dfii_pi2_command0_r = interface2_bank_bus_dat_w[5:0];
+assign csrbank2_dfii_pi2_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd27));
+assign csrbank2_dfii_pi2_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd27));
+assign litedramcore_phaseinjector2_command_issue_r = interface2_bank_bus_dat_w[0];
+assign litedramcore_phaseinjector2_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd28));
+assign litedramcore_phaseinjector2_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd28));
+assign csrbank2_dfii_pi2_address1_r = interface2_bank_bus_dat_w[6:0];
+assign csrbank2_dfii_pi2_address1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd29));
+assign csrbank2_dfii_pi2_address1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd29));
+assign csrbank2_dfii_pi2_address0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd30));
+assign csrbank2_dfii_pi2_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd30));
+assign csrbank2_dfii_pi2_baddress0_r = interface2_bank_bus_dat_w[2:0];
+assign csrbank2_dfii_pi2_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 5'd31));
+assign csrbank2_dfii_pi2_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 5'd31));
+assign csrbank2_dfii_pi2_wrdata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_wrdata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd32));
+assign csrbank2_dfii_pi2_wrdata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd32));
+assign csrbank2_dfii_pi2_wrdata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_wrdata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd33));
+assign csrbank2_dfii_pi2_wrdata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd33));
+assign csrbank2_dfii_pi2_wrdata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_wrdata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd34));
+assign csrbank2_dfii_pi2_wrdata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd34));
+assign csrbank2_dfii_pi2_wrdata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd35));
+assign csrbank2_dfii_pi2_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd35));
+assign csrbank2_dfii_pi2_rddata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_rddata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd36));
+assign csrbank2_dfii_pi2_rddata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd36));
+assign csrbank2_dfii_pi2_rddata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_rddata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd37));
+assign csrbank2_dfii_pi2_rddata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd37));
+assign csrbank2_dfii_pi2_rddata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_rddata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd38));
+assign csrbank2_dfii_pi2_rddata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd38));
+assign csrbank2_dfii_pi2_rddata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi2_rddata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd39));
+assign csrbank2_dfii_pi2_rddata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd39));
+assign csrbank2_dfii_pi3_command0_r = interface2_bank_bus_dat_w[5:0];
+assign csrbank2_dfii_pi3_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd40));
+assign csrbank2_dfii_pi3_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd40));
+assign litedramcore_phaseinjector3_command_issue_r = interface2_bank_bus_dat_w[0];
+assign litedramcore_phaseinjector3_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd41));
+assign litedramcore_phaseinjector3_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd41));
+assign csrbank2_dfii_pi3_address1_r = interface2_bank_bus_dat_w[6:0];
+assign csrbank2_dfii_pi3_address1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd42));
+assign csrbank2_dfii_pi3_address1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd42));
+assign csrbank2_dfii_pi3_address0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd43));
+assign csrbank2_dfii_pi3_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd43));
+assign csrbank2_dfii_pi3_baddress0_r = interface2_bank_bus_dat_w[2:0];
+assign csrbank2_dfii_pi3_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd44));
+assign csrbank2_dfii_pi3_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd44));
+assign csrbank2_dfii_pi3_wrdata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_wrdata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd45));
+assign csrbank2_dfii_pi3_wrdata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd45));
+assign csrbank2_dfii_pi3_wrdata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_wrdata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd46));
+assign csrbank2_dfii_pi3_wrdata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd46));
+assign csrbank2_dfii_pi3_wrdata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_wrdata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd47));
+assign csrbank2_dfii_pi3_wrdata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd47));
+assign csrbank2_dfii_pi3_wrdata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd48));
+assign csrbank2_dfii_pi3_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd48));
+assign csrbank2_dfii_pi3_rddata3_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_rddata3_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd49));
+assign csrbank2_dfii_pi3_rddata3_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd49));
+assign csrbank2_dfii_pi3_rddata2_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_rddata2_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd50));
+assign csrbank2_dfii_pi3_rddata2_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd50));
+assign csrbank2_dfii_pi3_rddata1_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_rddata1_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd51));
+assign csrbank2_dfii_pi3_rddata1_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd51));
+assign csrbank2_dfii_pi3_rddata0_r = interface2_bank_bus_dat_w[7:0];
+assign csrbank2_dfii_pi3_rddata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[8:3] == 6'd52));
+assign csrbank2_dfii_pi3_rddata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[8:3] == 6'd52));
+assign csrbank2_dfii_control0_w = litedramcore_storage[3:0];
+assign csrbank2_dfii_pi0_command0_w = litedramcore_phaseinjector0_command_storage[5:0];
+assign csrbank2_dfii_pi0_address1_w = litedramcore_phaseinjector0_address_storage[14:8];
+assign csrbank2_dfii_pi0_address0_w = litedramcore_phaseinjector0_address_storage[7:0];
+assign csrbank2_dfii_pi0_baddress0_w = litedramcore_phaseinjector0_baddress_storage[2:0];
+assign csrbank2_dfii_pi0_wrdata3_w = litedramcore_phaseinjector0_wrdata_storage[31:24];
+assign csrbank2_dfii_pi0_wrdata2_w = litedramcore_phaseinjector0_wrdata_storage[23:16];
+assign csrbank2_dfii_pi0_wrdata1_w = litedramcore_phaseinjector0_wrdata_storage[15:8];
+assign csrbank2_dfii_pi0_wrdata0_w = litedramcore_phaseinjector0_wrdata_storage[7:0];
+assign csrbank2_dfii_pi0_rddata3_w = litedramcore_phaseinjector0_status[31:24];
+assign csrbank2_dfii_pi0_rddata2_w = litedramcore_phaseinjector0_status[23:16];
+assign csrbank2_dfii_pi0_rddata1_w = litedramcore_phaseinjector0_status[15:8];
+assign csrbank2_dfii_pi0_rddata0_w = litedramcore_phaseinjector0_status[7:0];
+assign litedramcore_phaseinjector0_we = csrbank2_dfii_pi0_rddata0_we;
+assign csrbank2_dfii_pi1_command0_w = litedramcore_phaseinjector1_command_storage[5:0];
+assign csrbank2_dfii_pi1_address1_w = litedramcore_phaseinjector1_address_storage[14:8];
+assign csrbank2_dfii_pi1_address0_w = litedramcore_phaseinjector1_address_storage[7:0];
+assign csrbank2_dfii_pi1_baddress0_w = litedramcore_phaseinjector1_baddress_storage[2:0];
+assign csrbank2_dfii_pi1_wrdata3_w = litedramcore_phaseinjector1_wrdata_storage[31:24];
+assign csrbank2_dfii_pi1_wrdata2_w = litedramcore_phaseinjector1_wrdata_storage[23:16];
+assign csrbank2_dfii_pi1_wrdata1_w = litedramcore_phaseinjector1_wrdata_storage[15:8];
+assign csrbank2_dfii_pi1_wrdata0_w = litedramcore_phaseinjector1_wrdata_storage[7:0];
+assign csrbank2_dfii_pi1_rddata3_w = litedramcore_phaseinjector1_status[31:24];
+assign csrbank2_dfii_pi1_rddata2_w = litedramcore_phaseinjector1_status[23:16];
+assign csrbank2_dfii_pi1_rddata1_w = litedramcore_phaseinjector1_status[15:8];
+assign csrbank2_dfii_pi1_rddata0_w = litedramcore_phaseinjector1_status[7:0];
+assign litedramcore_phaseinjector1_we = csrbank2_dfii_pi1_rddata0_we;
+assign csrbank2_dfii_pi2_command0_w = litedramcore_phaseinjector2_command_storage[5:0];
+assign csrbank2_dfii_pi2_address1_w = litedramcore_phaseinjector2_address_storage[14:8];
+assign csrbank2_dfii_pi2_address0_w = litedramcore_phaseinjector2_address_storage[7:0];
+assign csrbank2_dfii_pi2_baddress0_w = litedramcore_phaseinjector2_baddress_storage[2:0];
+assign csrbank2_dfii_pi2_wrdata3_w = litedramcore_phaseinjector2_wrdata_storage[31:24];
+assign csrbank2_dfii_pi2_wrdata2_w = litedramcore_phaseinjector2_wrdata_storage[23:16];
+assign csrbank2_dfii_pi2_wrdata1_w = litedramcore_phaseinjector2_wrdata_storage[15:8];
+assign csrbank2_dfii_pi2_wrdata0_w = litedramcore_phaseinjector2_wrdata_storage[7:0];
+assign csrbank2_dfii_pi2_rddata3_w = litedramcore_phaseinjector2_status[31:24];
+assign csrbank2_dfii_pi2_rddata2_w = litedramcore_phaseinjector2_status[23:16];
+assign csrbank2_dfii_pi2_rddata1_w = litedramcore_phaseinjector2_status[15:8];
+assign csrbank2_dfii_pi2_rddata0_w = litedramcore_phaseinjector2_status[7:0];
+assign litedramcore_phaseinjector2_we = csrbank2_dfii_pi2_rddata0_we;
+assign csrbank2_dfii_pi3_command0_w = litedramcore_phaseinjector3_command_storage[5:0];
+assign csrbank2_dfii_pi3_address1_w = litedramcore_phaseinjector3_address_storage[14:8];
+assign csrbank2_dfii_pi3_address0_w = litedramcore_phaseinjector3_address_storage[7:0];
+assign csrbank2_dfii_pi3_baddress0_w = litedramcore_phaseinjector3_baddress_storage[2:0];
+assign csrbank2_dfii_pi3_wrdata3_w = litedramcore_phaseinjector3_wrdata_storage[31:24];
+assign csrbank2_dfii_pi3_wrdata2_w = litedramcore_phaseinjector3_wrdata_storage[23:16];
+assign csrbank2_dfii_pi3_wrdata1_w = litedramcore_phaseinjector3_wrdata_storage[15:8];
+assign csrbank2_dfii_pi3_wrdata0_w = litedramcore_phaseinjector3_wrdata_storage[7:0];
+assign csrbank2_dfii_pi3_rddata3_w = litedramcore_phaseinjector3_status[31:24];
+assign csrbank2_dfii_pi3_rddata2_w = litedramcore_phaseinjector3_status[23:16];
+assign csrbank2_dfii_pi3_rddata1_w = litedramcore_phaseinjector3_status[15:8];
+assign csrbank2_dfii_pi3_rddata0_w = litedramcore_phaseinjector3_status[7:0];
+assign litedramcore_phaseinjector3_we = csrbank2_dfii_pi3_rddata0_we;
+assign adr = csr_port_adr;
+assign we = csr_port_we;
+assign dat_w = csr_port_dat_w;
+assign csr_port_dat_r = dat_r;
+assign interface0_bank_bus_adr = adr;
+assign interface1_bank_bus_adr = adr;
+assign interface2_bank_bus_adr = adr;
+assign interface0_bank_bus_we = we;
+assign interface1_bank_bus_we = we;
+assign interface2_bank_bus_we = we;
+assign interface0_bank_bus_dat_w = dat_w;
+assign interface1_bank_bus_dat_w = dat_w;
+assign interface2_bank_bus_dat_w = dat_w;
+assign dat_r = ((interface0_bank_bus_dat_r | interface1_bank_bus_dat_r) | interface2_bank_bus_dat_r);
+
+// synthesis translate_off
+reg dummy_d_285;
// synthesis translate_on
always @(*) begin
- vns_t_array_muxed1 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
+ rhs_array_muxed0 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine0_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[0];
end
1'd1: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine1_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[1];
end
2'd2: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine2_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[2];
end
2'd3: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine3_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[3];
end
3'd4: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine4_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[4];
end
3'd5: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine5_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[5];
end
3'd6: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine6_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[6];
end
default: begin
- vns_t_array_muxed1 <= soc_sdram_bankmachine7_cmd_payload_ras;
+ rhs_array_muxed0 <= litedramcore_choose_cmd_valids[7];
end
endcase
// synthesis translate_off
- dummy_d_345 = dummy_s;
+ dummy_d_285 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_346;
+reg dummy_d_286;
// synthesis translate_on
always @(*) begin
- vns_t_array_muxed2 <= 1'd0;
- case (soc_sdram_choose_cmd_grant)
+ rhs_array_muxed1 <= 15'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine0_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_a;
end
1'd1: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine1_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_a;
end
2'd2: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine2_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_a;
end
2'd3: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine3_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_a;
end
3'd4: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine4_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_a;
end
3'd5: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine5_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_a;
end
3'd6: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine6_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_a;
end
default: begin
- vns_t_array_muxed2 <= soc_sdram_bankmachine7_cmd_payload_we;
+ rhs_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_a;
end
endcase
// synthesis translate_off
- dummy_d_346 = dummy_s;
+ dummy_d_286 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_347;
+reg dummy_d_287;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed6 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ rhs_array_muxed2 <= 3'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[0];
+ rhs_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_ba;
end
1'd1: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[1];
+ rhs_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_ba;
end
2'd2: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[2];
+ rhs_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_ba;
end
2'd3: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[3];
+ rhs_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_ba;
end
3'd4: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[4];
+ rhs_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_ba;
end
3'd5: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[5];
+ rhs_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_ba;
end
3'd6: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[6];
+ rhs_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_ba;
end
default: begin
- vns_rhs_array_muxed6 <= soc_sdram_choose_req_valids[7];
+ rhs_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_ba;
end
endcase
// synthesis translate_off
- dummy_d_347 = dummy_s;
+ dummy_d_287 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_348;
+reg dummy_d_288;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed7 <= 15'd0;
- case (soc_sdram_choose_req_grant)
+ rhs_array_muxed3 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine0_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_is_read;
end
1'd1: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine1_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_is_read;
end
2'd2: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine2_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_is_read;
end
2'd3: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine3_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_is_read;
end
3'd4: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine4_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_is_read;
end
3'd5: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine5_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_is_read;
end
3'd6: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine6_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_is_read;
end
default: begin
- vns_rhs_array_muxed7 <= soc_sdram_bankmachine7_cmd_payload_a;
+ rhs_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_is_read;
end
endcase
// synthesis translate_off
- dummy_d_348 = dummy_s;
+ dummy_d_288 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_349;
+reg dummy_d_289;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed8 <= 3'd0;
- case (soc_sdram_choose_req_grant)
+ rhs_array_muxed4 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine0_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_is_write;
end
1'd1: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine1_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_is_write;
end
2'd2: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine2_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_is_write;
end
2'd3: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine3_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_is_write;
end
3'd4: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine4_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_is_write;
end
3'd5: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine5_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_is_write;
end
3'd6: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine6_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_is_write;
end
default: begin
- vns_rhs_array_muxed8 <= soc_sdram_bankmachine7_cmd_payload_ba;
+ rhs_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_is_write;
end
endcase
// synthesis translate_off
- dummy_d_349 = dummy_s;
+ dummy_d_289 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_350;
+reg dummy_d_290;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed9 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ rhs_array_muxed5 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine0_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_is_cmd;
end
1'd1: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine1_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_is_cmd;
end
2'd2: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine2_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_is_cmd;
end
2'd3: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine3_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_is_cmd;
end
3'd4: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine4_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_is_cmd;
end
3'd5: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine5_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_is_cmd;
end
3'd6: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine6_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_is_cmd;
end
default: begin
- vns_rhs_array_muxed9 <= soc_sdram_bankmachine7_cmd_payload_is_read;
+ rhs_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_is_cmd;
end
endcase
// synthesis translate_off
- dummy_d_350 = dummy_s;
+ dummy_d_290 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_351;
+reg dummy_d_291;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed10 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ t_array_muxed0 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine0_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine0_cmd_payload_cas;
end
1'd1: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine1_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine1_cmd_payload_cas;
end
2'd2: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine2_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine2_cmd_payload_cas;
end
2'd3: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine3_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine3_cmd_payload_cas;
end
3'd4: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine4_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine4_cmd_payload_cas;
end
3'd5: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine5_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine5_cmd_payload_cas;
end
3'd6: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine6_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine6_cmd_payload_cas;
end
default: begin
- vns_rhs_array_muxed10 <= soc_sdram_bankmachine7_cmd_payload_is_write;
+ t_array_muxed0 <= litedramcore_bankmachine7_cmd_payload_cas;
end
endcase
// synthesis translate_off
- dummy_d_351 = dummy_s;
+ dummy_d_291 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_352;
+reg dummy_d_292;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed11 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ t_array_muxed1 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine0_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine0_cmd_payload_ras;
end
1'd1: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine1_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine1_cmd_payload_ras;
end
2'd2: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine2_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine2_cmd_payload_ras;
end
2'd3: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine3_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine3_cmd_payload_ras;
end
3'd4: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine4_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine4_cmd_payload_ras;
end
3'd5: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine5_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine5_cmd_payload_ras;
end
3'd6: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine6_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine6_cmd_payload_ras;
end
default: begin
- vns_rhs_array_muxed11 <= soc_sdram_bankmachine7_cmd_payload_is_cmd;
+ t_array_muxed1 <= litedramcore_bankmachine7_cmd_payload_ras;
end
endcase
// synthesis translate_off
- dummy_d_352 = dummy_s;
+ dummy_d_292 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_353;
+reg dummy_d_293;
// synthesis translate_on
always @(*) begin
- vns_t_array_muxed3 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ t_array_muxed2 <= 1'd0;
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine0_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine0_cmd_payload_we;
end
1'd1: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine1_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine1_cmd_payload_we;
end
2'd2: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine2_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine2_cmd_payload_we;
end
2'd3: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine3_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine3_cmd_payload_we;
end
3'd4: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine4_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine4_cmd_payload_we;
end
3'd5: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine5_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine5_cmd_payload_we;
end
3'd6: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine6_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine6_cmd_payload_we;
end
default: begin
- vns_t_array_muxed3 <= soc_sdram_bankmachine7_cmd_payload_cas;
+ t_array_muxed2 <= litedramcore_bankmachine7_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_353 = dummy_s;
+ dummy_d_293 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_354;
+reg dummy_d_294;
// synthesis translate_on
always @(*) begin
- vns_t_array_muxed4 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ rhs_array_muxed6 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine0_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[0];
end
1'd1: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine1_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[1];
end
2'd2: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine2_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[2];
end
2'd3: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine3_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[3];
end
3'd4: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine4_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[4];
end
3'd5: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine5_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[5];
end
3'd6: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine6_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[6];
end
default: begin
- vns_t_array_muxed4 <= soc_sdram_bankmachine7_cmd_payload_ras;
+ rhs_array_muxed6 <= litedramcore_choose_req_valids[7];
end
endcase
// synthesis translate_off
- dummy_d_354 = dummy_s;
+ dummy_d_294 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_355;
+reg dummy_d_295;
// synthesis translate_on
always @(*) begin
- vns_t_array_muxed5 <= 1'd0;
- case (soc_sdram_choose_req_grant)
+ rhs_array_muxed7 <= 15'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine0_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine0_cmd_payload_a;
end
1'd1: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine1_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine1_cmd_payload_a;
end
2'd2: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine2_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine2_cmd_payload_a;
end
2'd3: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine3_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine3_cmd_payload_a;
end
3'd4: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine4_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine4_cmd_payload_a;
end
3'd5: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine5_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine5_cmd_payload_a;
end
3'd6: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine6_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine6_cmd_payload_a;
end
default: begin
- vns_t_array_muxed5 <= soc_sdram_bankmachine7_cmd_payload_we;
+ rhs_array_muxed7 <= litedramcore_bankmachine7_cmd_payload_a;
end
endcase
// synthesis translate_off
- dummy_d_355 = dummy_s;
+ dummy_d_295 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_356;
+reg dummy_d_296;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed12 <= 22'd0;
- case (vns_roundrobin0_grant)
+ rhs_array_muxed8 <= 3'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed12 <= {soc_port_cmd_payload_addr[24:10], soc_port_cmd_payload_addr[6:0]};
+ rhs_array_muxed8 <= litedramcore_bankmachine0_cmd_payload_ba;
end
- default: begin
- vns_rhs_array_muxed12 <= {soc_cmd_payload_addr[24:10], soc_cmd_payload_addr[6:0]};
+ 1'd1: begin
+ rhs_array_muxed8 <= litedramcore_bankmachine1_cmd_payload_ba;
end
- endcase
-// synthesis translate_off
- dummy_d_356 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_357;
-// synthesis translate_on
-always @(*) begin
- vns_rhs_array_muxed13 <= 1'd0;
- case (vns_roundrobin0_grant)
- 1'd0: begin
- vns_rhs_array_muxed13 <= soc_port_cmd_payload_we;
+ 2'd2: begin
+ rhs_array_muxed8 <= litedramcore_bankmachine2_cmd_payload_ba;
+ end
+ 2'd3: begin
+ rhs_array_muxed8 <= litedramcore_bankmachine3_cmd_payload_ba;
+ end
+ 3'd4: begin
+ rhs_array_muxed8 <= litedramcore_bankmachine4_cmd_payload_ba;
+ end
+ 3'd5: begin
+ rhs_array_muxed8 <= litedramcore_bankmachine5_cmd_payload_ba;
+ end
+ 3'd6: begin
+ rhs_array_muxed8 <= litedramcore_bankmachine6_cmd_payload_ba;
end
default: begin
- vns_rhs_array_muxed13 <= soc_cmd_payload_we;
+ rhs_array_muxed8 <= litedramcore_bankmachine7_cmd_payload_ba;
end
endcase
// synthesis translate_off
- dummy_d_357 = dummy_s;
+ dummy_d_296 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_358;
+reg dummy_d_297;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed14 <= 1'd0;
- case (vns_roundrobin0_grant)
+ rhs_array_muxed9 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed14 <= (((soc_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked0 | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
+ rhs_array_muxed9 <= litedramcore_bankmachine0_cmd_payload_is_read;
+ end
+ 1'd1: begin
+ rhs_array_muxed9 <= litedramcore_bankmachine1_cmd_payload_is_read;
+ end
+ 2'd2: begin
+ rhs_array_muxed9 <= litedramcore_bankmachine2_cmd_payload_is_read;
+ end
+ 2'd3: begin
+ rhs_array_muxed9 <= litedramcore_bankmachine3_cmd_payload_is_read;
+ end
+ 3'd4: begin
+ rhs_array_muxed9 <= litedramcore_bankmachine4_cmd_payload_is_read;
+ end
+ 3'd5: begin
+ rhs_array_muxed9 <= litedramcore_bankmachine5_cmd_payload_is_read;
+ end
+ 3'd6: begin
+ rhs_array_muxed9 <= litedramcore_bankmachine6_cmd_payload_is_read;
end
default: begin
- vns_rhs_array_muxed14 <= (((soc_cmd_payload_addr[9:7] == 1'd0) & (~(((((((vns_locked1 | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed9 <= litedramcore_bankmachine7_cmd_payload_is_read;
end
endcase
// synthesis translate_off
- dummy_d_358 = dummy_s;
+ dummy_d_297 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_359;
+reg dummy_d_298;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed15 <= 22'd0;
- case (vns_roundrobin1_grant)
+ rhs_array_muxed10 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed15 <= {soc_port_cmd_payload_addr[24:10], soc_port_cmd_payload_addr[6:0]};
+ rhs_array_muxed10 <= litedramcore_bankmachine0_cmd_payload_is_write;
+ end
+ 1'd1: begin
+ rhs_array_muxed10 <= litedramcore_bankmachine1_cmd_payload_is_write;
+ end
+ 2'd2: begin
+ rhs_array_muxed10 <= litedramcore_bankmachine2_cmd_payload_is_write;
+ end
+ 2'd3: begin
+ rhs_array_muxed10 <= litedramcore_bankmachine3_cmd_payload_is_write;
+ end
+ 3'd4: begin
+ rhs_array_muxed10 <= litedramcore_bankmachine4_cmd_payload_is_write;
+ end
+ 3'd5: begin
+ rhs_array_muxed10 <= litedramcore_bankmachine5_cmd_payload_is_write;
+ end
+ 3'd6: begin
+ rhs_array_muxed10 <= litedramcore_bankmachine6_cmd_payload_is_write;
end
default: begin
- vns_rhs_array_muxed15 <= {soc_cmd_payload_addr[24:10], soc_cmd_payload_addr[6:0]};
+ rhs_array_muxed10 <= litedramcore_bankmachine7_cmd_payload_is_write;
end
endcase
// synthesis translate_off
- dummy_d_359 = dummy_s;
+ dummy_d_298 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_360;
+reg dummy_d_299;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed16 <= 1'd0;
- case (vns_roundrobin1_grant)
+ rhs_array_muxed11 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed16 <= soc_port_cmd_payload_we;
+ rhs_array_muxed11 <= litedramcore_bankmachine0_cmd_payload_is_cmd;
+ end
+ 1'd1: begin
+ rhs_array_muxed11 <= litedramcore_bankmachine1_cmd_payload_is_cmd;
+ end
+ 2'd2: begin
+ rhs_array_muxed11 <= litedramcore_bankmachine2_cmd_payload_is_cmd;
+ end
+ 2'd3: begin
+ rhs_array_muxed11 <= litedramcore_bankmachine3_cmd_payload_is_cmd;
+ end
+ 3'd4: begin
+ rhs_array_muxed11 <= litedramcore_bankmachine4_cmd_payload_is_cmd;
+ end
+ 3'd5: begin
+ rhs_array_muxed11 <= litedramcore_bankmachine5_cmd_payload_is_cmd;
+ end
+ 3'd6: begin
+ rhs_array_muxed11 <= litedramcore_bankmachine6_cmd_payload_is_cmd;
end
default: begin
- vns_rhs_array_muxed16 <= soc_cmd_payload_we;
+ rhs_array_muxed11 <= litedramcore_bankmachine7_cmd_payload_is_cmd;
end
endcase
// synthesis translate_off
- dummy_d_360 = dummy_s;
+ dummy_d_299 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_361;
+reg dummy_d_300;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed17 <= 1'd0;
- case (vns_roundrobin1_grant)
+ t_array_muxed3 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed17 <= (((soc_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked2 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
+ t_array_muxed3 <= litedramcore_bankmachine0_cmd_payload_cas;
+ end
+ 1'd1: begin
+ t_array_muxed3 <= litedramcore_bankmachine1_cmd_payload_cas;
+ end
+ 2'd2: begin
+ t_array_muxed3 <= litedramcore_bankmachine2_cmd_payload_cas;
+ end
+ 2'd3: begin
+ t_array_muxed3 <= litedramcore_bankmachine3_cmd_payload_cas;
+ end
+ 3'd4: begin
+ t_array_muxed3 <= litedramcore_bankmachine4_cmd_payload_cas;
+ end
+ 3'd5: begin
+ t_array_muxed3 <= litedramcore_bankmachine5_cmd_payload_cas;
+ end
+ 3'd6: begin
+ t_array_muxed3 <= litedramcore_bankmachine6_cmd_payload_cas;
end
default: begin
- vns_rhs_array_muxed17 <= (((soc_cmd_payload_addr[9:7] == 1'd1) & (~(((((((vns_locked3 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ t_array_muxed3 <= litedramcore_bankmachine7_cmd_payload_cas;
end
endcase
// synthesis translate_off
- dummy_d_361 = dummy_s;
+ dummy_d_300 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_362;
+reg dummy_d_301;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed18 <= 22'd0;
- case (vns_roundrobin2_grant)
+ t_array_muxed4 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed18 <= {soc_port_cmd_payload_addr[24:10], soc_port_cmd_payload_addr[6:0]};
+ t_array_muxed4 <= litedramcore_bankmachine0_cmd_payload_ras;
+ end
+ 1'd1: begin
+ t_array_muxed4 <= litedramcore_bankmachine1_cmd_payload_ras;
+ end
+ 2'd2: begin
+ t_array_muxed4 <= litedramcore_bankmachine2_cmd_payload_ras;
+ end
+ 2'd3: begin
+ t_array_muxed4 <= litedramcore_bankmachine3_cmd_payload_ras;
+ end
+ 3'd4: begin
+ t_array_muxed4 <= litedramcore_bankmachine4_cmd_payload_ras;
+ end
+ 3'd5: begin
+ t_array_muxed4 <= litedramcore_bankmachine5_cmd_payload_ras;
+ end
+ 3'd6: begin
+ t_array_muxed4 <= litedramcore_bankmachine6_cmd_payload_ras;
end
default: begin
- vns_rhs_array_muxed18 <= {soc_cmd_payload_addr[24:10], soc_cmd_payload_addr[6:0]};
+ t_array_muxed4 <= litedramcore_bankmachine7_cmd_payload_ras;
end
endcase
// synthesis translate_off
- dummy_d_362 = dummy_s;
+ dummy_d_301 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_363;
+reg dummy_d_302;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed19 <= 1'd0;
- case (vns_roundrobin2_grant)
+ t_array_muxed5 <= 1'd0;
+ case (litedramcore_choose_req_grant)
1'd0: begin
- vns_rhs_array_muxed19 <= soc_port_cmd_payload_we;
+ t_array_muxed5 <= litedramcore_bankmachine0_cmd_payload_we;
+ end
+ 1'd1: begin
+ t_array_muxed5 <= litedramcore_bankmachine1_cmd_payload_we;
+ end
+ 2'd2: begin
+ t_array_muxed5 <= litedramcore_bankmachine2_cmd_payload_we;
+ end
+ 2'd3: begin
+ t_array_muxed5 <= litedramcore_bankmachine3_cmd_payload_we;
+ end
+ 3'd4: begin
+ t_array_muxed5 <= litedramcore_bankmachine4_cmd_payload_we;
+ end
+ 3'd5: begin
+ t_array_muxed5 <= litedramcore_bankmachine5_cmd_payload_we;
+ end
+ 3'd6: begin
+ t_array_muxed5 <= litedramcore_bankmachine6_cmd_payload_we;
end
default: begin
- vns_rhs_array_muxed19 <= soc_cmd_payload_we;
+ t_array_muxed5 <= litedramcore_bankmachine7_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_363 = dummy_s;
+ dummy_d_302 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_364;
+reg dummy_d_303;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed20 <= 1'd0;
- case (vns_roundrobin2_grant)
- 1'd0: begin
- vns_rhs_array_muxed20 <= (((soc_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked4 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
- end
+ rhs_array_muxed12 <= 22'd0;
+ case (roundrobin0_grant)
default: begin
- vns_rhs_array_muxed20 <= (((soc_cmd_payload_addr[9:7] == 2'd2) & (~(((((((vns_locked5 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed12 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_364 = dummy_s;
+ dummy_d_303 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_365;
+reg dummy_d_304;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed21 <= 22'd0;
- case (vns_roundrobin3_grant)
- 1'd0: begin
- vns_rhs_array_muxed21 <= {soc_port_cmd_payload_addr[24:10], soc_port_cmd_payload_addr[6:0]};
- end
+ rhs_array_muxed13 <= 1'd0;
+ case (roundrobin0_grant)
default: begin
- vns_rhs_array_muxed21 <= {soc_cmd_payload_addr[24:10], soc_cmd_payload_addr[6:0]};
+ rhs_array_muxed13 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_365 = dummy_s;
+ dummy_d_304 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_366;
+reg dummy_d_305;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed22 <= 1'd0;
- case (vns_roundrobin3_grant)
- 1'd0: begin
- vns_rhs_array_muxed22 <= soc_port_cmd_payload_we;
- end
+ rhs_array_muxed14 <= 1'd0;
+ case (roundrobin0_grant)
default: begin
- vns_rhs_array_muxed22 <= soc_cmd_payload_we;
+ rhs_array_muxed14 <= (((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((locked0 | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_366 = dummy_s;
+ dummy_d_305 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_367;
+reg dummy_d_306;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed23 <= 1'd0;
- case (vns_roundrobin3_grant)
- 1'd0: begin
- vns_rhs_array_muxed23 <= (((soc_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked6 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
- end
+ rhs_array_muxed15 <= 22'd0;
+ case (roundrobin1_grant)
default: begin
- vns_rhs_array_muxed23 <= (((soc_cmd_payload_addr[9:7] == 2'd3) & (~(((((((vns_locked7 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed15 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_367 = dummy_s;
+ dummy_d_306 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_368;
+reg dummy_d_307;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed24 <= 22'd0;
- case (vns_roundrobin4_grant)
- 1'd0: begin
- vns_rhs_array_muxed24 <= {soc_port_cmd_payload_addr[24:10], soc_port_cmd_payload_addr[6:0]};
- end
+ rhs_array_muxed16 <= 1'd0;
+ case (roundrobin1_grant)
default: begin
- vns_rhs_array_muxed24 <= {soc_cmd_payload_addr[24:10], soc_cmd_payload_addr[6:0]};
+ rhs_array_muxed16 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_368 = dummy_s;
+ dummy_d_307 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_369;
+reg dummy_d_308;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed25 <= 1'd0;
- case (vns_roundrobin4_grant)
- 1'd0: begin
- vns_rhs_array_muxed25 <= soc_port_cmd_payload_we;
- end
+ rhs_array_muxed17 <= 1'd0;
+ case (roundrobin1_grant)
default: begin
- vns_rhs_array_muxed25 <= soc_cmd_payload_we;
+ rhs_array_muxed17 <= (((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((locked1 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_369 = dummy_s;
+ dummy_d_308 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_370;
+reg dummy_d_309;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed26 <= 1'd0;
- case (vns_roundrobin4_grant)
- 1'd0: begin
- vns_rhs_array_muxed26 <= (((soc_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked8 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
- end
+ rhs_array_muxed18 <= 22'd0;
+ case (roundrobin2_grant)
default: begin
- vns_rhs_array_muxed26 <= (((soc_cmd_payload_addr[9:7] == 3'd4) & (~(((((((vns_locked9 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed18 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_370 = dummy_s;
+ dummy_d_309 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_371;
+reg dummy_d_310;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed27 <= 22'd0;
- case (vns_roundrobin5_grant)
- 1'd0: begin
- vns_rhs_array_muxed27 <= {soc_port_cmd_payload_addr[24:10], soc_port_cmd_payload_addr[6:0]};
- end
+ rhs_array_muxed19 <= 1'd0;
+ case (roundrobin2_grant)
default: begin
- vns_rhs_array_muxed27 <= {soc_cmd_payload_addr[24:10], soc_cmd_payload_addr[6:0]};
+ rhs_array_muxed19 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_371 = dummy_s;
+ dummy_d_310 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_372;
+reg dummy_d_311;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed28 <= 1'd0;
- case (vns_roundrobin5_grant)
- 1'd0: begin
- vns_rhs_array_muxed28 <= soc_port_cmd_payload_we;
- end
+ rhs_array_muxed20 <= 1'd0;
+ case (roundrobin2_grant)
default: begin
- vns_rhs_array_muxed28 <= soc_cmd_payload_we;
+ rhs_array_muxed20 <= (((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((locked2 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_372 = dummy_s;
+ dummy_d_311 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_373;
+reg dummy_d_312;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed29 <= 1'd0;
- case (vns_roundrobin5_grant)
- 1'd0: begin
- vns_rhs_array_muxed29 <= (((soc_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked10 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
- end
+ rhs_array_muxed21 <= 22'd0;
+ case (roundrobin3_grant)
default: begin
- vns_rhs_array_muxed29 <= (((soc_cmd_payload_addr[9:7] == 3'd5) & (~(((((((vns_locked11 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed21 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_373 = dummy_s;
+ dummy_d_312 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_374;
+reg dummy_d_313;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed30 <= 22'd0;
- case (vns_roundrobin6_grant)
- 1'd0: begin
- vns_rhs_array_muxed30 <= {soc_port_cmd_payload_addr[24:10], soc_port_cmd_payload_addr[6:0]};
- end
+ rhs_array_muxed22 <= 1'd0;
+ case (roundrobin3_grant)
default: begin
- vns_rhs_array_muxed30 <= {soc_cmd_payload_addr[24:10], soc_cmd_payload_addr[6:0]};
+ rhs_array_muxed22 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_374 = dummy_s;
+ dummy_d_313 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_375;
+reg dummy_d_314;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed31 <= 1'd0;
- case (vns_roundrobin6_grant)
- 1'd0: begin
- vns_rhs_array_muxed31 <= soc_port_cmd_payload_we;
- end
+ rhs_array_muxed23 <= 1'd0;
+ case (roundrobin3_grant)
default: begin
- vns_rhs_array_muxed31 <= soc_cmd_payload_we;
+ rhs_array_muxed23 <= (((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((locked3 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_375 = dummy_s;
+ dummy_d_314 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_376;
+reg dummy_d_315;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed32 <= 1'd0;
- case (vns_roundrobin6_grant)
- 1'd0: begin
- vns_rhs_array_muxed32 <= (((soc_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked12 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd0))))) & soc_port_cmd_valid);
- end
+ rhs_array_muxed24 <= 22'd0;
+ case (roundrobin4_grant)
default: begin
- vns_rhs_array_muxed32 <= (((soc_cmd_payload_addr[9:7] == 3'd6) & (~(((((((vns_locked13 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank7_lock & (vns_roundrobin7_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed24 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_376 = dummy_s;
+ dummy_d_315 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_377;
+reg dummy_d_316;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed33 <= 22'd0;
- case (vns_roundrobin7_grant)
- 1'd0: begin
- vns_rhs_array_muxed33 <= {soc_port_cmd_payload_addr[24:10], soc_port_cmd_payload_addr[6:0]};
- end
+ rhs_array_muxed25 <= 1'd0;
+ case (roundrobin4_grant)
default: begin
- vns_rhs_array_muxed33 <= {soc_cmd_payload_addr[24:10], soc_cmd_payload_addr[6:0]};
+ rhs_array_muxed25 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_377 = dummy_s;
+ dummy_d_316 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_378;
+reg dummy_d_317;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed34 <= 1'd0;
- case (vns_roundrobin7_grant)
- 1'd0: begin
- vns_rhs_array_muxed34 <= soc_port_cmd_payload_we;
- end
+ rhs_array_muxed26 <= 1'd0;
+ case (roundrobin4_grant)
default: begin
- vns_rhs_array_muxed34 <= soc_cmd_payload_we;
+ rhs_array_muxed26 <= (((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((locked4 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_378 = dummy_s;
+ dummy_d_317 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_379;
+reg dummy_d_318;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed35 <= 1'd0;
- case (vns_roundrobin7_grant)
- 1'd0: begin
- vns_rhs_array_muxed35 <= (((soc_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked14 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd0))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd0))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd0))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd0))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd0))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd0))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd0))))) & soc_port_cmd_valid);
- end
+ rhs_array_muxed27 <= 22'd0;
+ case (roundrobin5_grant)
default: begin
- vns_rhs_array_muxed35 <= (((soc_cmd_payload_addr[9:7] == 3'd7) & (~(((((((vns_locked15 | (soc_sdram_interface_bank0_lock & (vns_roundrobin0_grant == 1'd1))) | (soc_sdram_interface_bank1_lock & (vns_roundrobin1_grant == 1'd1))) | (soc_sdram_interface_bank2_lock & (vns_roundrobin2_grant == 1'd1))) | (soc_sdram_interface_bank3_lock & (vns_roundrobin3_grant == 1'd1))) | (soc_sdram_interface_bank4_lock & (vns_roundrobin4_grant == 1'd1))) | (soc_sdram_interface_bank5_lock & (vns_roundrobin5_grant == 1'd1))) | (soc_sdram_interface_bank6_lock & (vns_roundrobin6_grant == 1'd1))))) & soc_cmd_valid);
+ rhs_array_muxed27 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_379 = dummy_s;
+ dummy_d_318 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_380;
+reg dummy_d_319;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed36 <= 30'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed36 <= soc_litedramcore_cpu_ibus_adr;
- end
+ rhs_array_muxed28 <= 1'd0;
+ case (roundrobin5_grant)
default: begin
- vns_rhs_array_muxed36 <= soc_litedramcore_cpu_dbus_adr;
+ rhs_array_muxed28 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_380 = dummy_s;
+ dummy_d_319 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_381;
+reg dummy_d_320;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed37 <= 32'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed37 <= soc_litedramcore_cpu_ibus_dat_w;
- end
+ rhs_array_muxed29 <= 1'd0;
+ case (roundrobin5_grant)
default: begin
- vns_rhs_array_muxed37 <= soc_litedramcore_cpu_dbus_dat_w;
+ rhs_array_muxed29 <= (((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((locked5 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_381 = dummy_s;
+ dummy_d_320 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_382;
+reg dummy_d_321;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed38 <= 4'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed38 <= soc_litedramcore_cpu_ibus_sel;
- end
+ rhs_array_muxed30 <= 22'd0;
+ case (roundrobin6_grant)
default: begin
- vns_rhs_array_muxed38 <= soc_litedramcore_cpu_dbus_sel;
+ rhs_array_muxed30 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_382 = dummy_s;
+ dummy_d_321 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_383;
+reg dummy_d_322;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed39 <= 1'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed39 <= soc_litedramcore_cpu_ibus_cyc;
- end
+ rhs_array_muxed31 <= 1'd0;
+ case (roundrobin6_grant)
default: begin
- vns_rhs_array_muxed39 <= soc_litedramcore_cpu_dbus_cyc;
+ rhs_array_muxed31 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_383 = dummy_s;
+ dummy_d_322 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_384;
+reg dummy_d_323;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed40 <= 1'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed40 <= soc_litedramcore_cpu_ibus_stb;
- end
+ rhs_array_muxed32 <= 1'd0;
+ case (roundrobin6_grant)
default: begin
- vns_rhs_array_muxed40 <= soc_litedramcore_cpu_dbus_stb;
+ rhs_array_muxed32 <= (((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((locked6 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_384 = dummy_s;
+ dummy_d_323 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_385;
+reg dummy_d_324;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed41 <= 1'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed41 <= soc_litedramcore_cpu_ibus_we;
- end
+ rhs_array_muxed33 <= 22'd0;
+ case (roundrobin7_grant)
default: begin
- vns_rhs_array_muxed41 <= soc_litedramcore_cpu_dbus_we;
+ rhs_array_muxed33 <= {user_port_cmd_payload_addr[24:10], user_port_cmd_payload_addr[6:0]};
end
endcase
// synthesis translate_off
- dummy_d_385 = dummy_s;
+ dummy_d_324 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_386;
+reg dummy_d_325;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed42 <= 3'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed42 <= soc_litedramcore_cpu_ibus_cti;
- end
+ rhs_array_muxed34 <= 1'd0;
+ case (roundrobin7_grant)
default: begin
- vns_rhs_array_muxed42 <= soc_litedramcore_cpu_dbus_cti;
+ rhs_array_muxed34 <= user_port_cmd_payload_we;
end
endcase
// synthesis translate_off
- dummy_d_386 = dummy_s;
+ dummy_d_325 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_387;
+reg dummy_d_326;
// synthesis translate_on
always @(*) begin
- vns_rhs_array_muxed43 <= 2'd0;
- case (vns_grant)
- 1'd0: begin
- vns_rhs_array_muxed43 <= soc_litedramcore_cpu_ibus_bte;
- end
+ rhs_array_muxed35 <= 1'd0;
+ case (roundrobin7_grant)
default: begin
- vns_rhs_array_muxed43 <= soc_litedramcore_cpu_dbus_bte;
+ rhs_array_muxed35 <= (((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((locked7 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))))) & user_port_cmd_valid);
end
endcase
// synthesis translate_off
- dummy_d_387 = dummy_s;
+ dummy_d_326 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_388;
+reg dummy_d_327;
// synthesis translate_on
always @(*) begin
- vns_array_muxed0 <= 3'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed0 <= 3'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed0 <= soc_sdram_nop_ba[2:0];
+ array_muxed0 <= litedramcore_nop_ba[2:0];
end
1'd1: begin
- vns_array_muxed0 <= soc_sdram_choose_cmd_cmd_payload_ba[2:0];
+ array_muxed0 <= litedramcore_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
- vns_array_muxed0 <= soc_sdram_choose_req_cmd_payload_ba[2:0];
+ array_muxed0 <= litedramcore_choose_req_cmd_payload_ba[2:0];
end
default: begin
- vns_array_muxed0 <= soc_sdram_cmd_payload_ba[2:0];
+ array_muxed0 <= litedramcore_cmd_payload_ba[2:0];
end
endcase
// synthesis translate_off
- dummy_d_388 = dummy_s;
+ dummy_d_327 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_389;
+reg dummy_d_328;
// synthesis translate_on
always @(*) begin
- vns_array_muxed1 <= 15'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed1 <= 15'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed1 <= soc_sdram_nop_a;
+ array_muxed1 <= litedramcore_nop_a;
end
1'd1: begin
- vns_array_muxed1 <= soc_sdram_choose_cmd_cmd_payload_a;
+ array_muxed1 <= litedramcore_choose_cmd_cmd_payload_a;
end
2'd2: begin
- vns_array_muxed1 <= soc_sdram_choose_req_cmd_payload_a;
+ array_muxed1 <= litedramcore_choose_req_cmd_payload_a;
end
default: begin
- vns_array_muxed1 <= soc_sdram_cmd_payload_a;
+ array_muxed1 <= litedramcore_cmd_payload_a;
end
endcase
// synthesis translate_off
- dummy_d_389 = dummy_s;
+ dummy_d_328 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_390;
+reg dummy_d_329;
// synthesis translate_on
always @(*) begin
- vns_array_muxed2 <= 1'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed2 <= 1'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed2 <= 1'd0;
+ array_muxed2 <= 1'd0;
end
1'd1: begin
- vns_array_muxed2 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_cas);
+ array_muxed2 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
end
2'd2: begin
- vns_array_muxed2 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_cas);
+ array_muxed2 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
end
default: begin
- vns_array_muxed2 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_cas);
+ array_muxed2 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
end
endcase
// synthesis translate_off
- dummy_d_390 = dummy_s;
+ dummy_d_329 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_391;
+reg dummy_d_330;
// synthesis translate_on
always @(*) begin
- vns_array_muxed3 <= 1'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed3 <= 1'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed3 <= 1'd0;
+ array_muxed3 <= 1'd0;
end
1'd1: begin
- vns_array_muxed3 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_ras);
+ array_muxed3 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
end
2'd2: begin
- vns_array_muxed3 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_ras);
+ array_muxed3 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
end
default: begin
- vns_array_muxed3 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_ras);
+ array_muxed3 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
end
endcase
// synthesis translate_off
- dummy_d_391 = dummy_s;
+ dummy_d_330 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_392;
+reg dummy_d_331;
// synthesis translate_on
always @(*) begin
- vns_array_muxed4 <= 1'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed4 <= 1'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed4 <= 1'd0;
+ array_muxed4 <= 1'd0;
end
1'd1: begin
- vns_array_muxed4 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_we);
+ array_muxed4 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
end
2'd2: begin
- vns_array_muxed4 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_we);
+ array_muxed4 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
end
default: begin
- vns_array_muxed4 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_we);
+ array_muxed4 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
end
endcase
// synthesis translate_off
- dummy_d_392 = dummy_s;
+ dummy_d_331 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_393;
+reg dummy_d_332;
// synthesis translate_on
always @(*) begin
- vns_array_muxed5 <= 1'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed5 <= 1'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed5 <= 1'd0;
+ array_muxed5 <= 1'd0;
end
1'd1: begin
- vns_array_muxed5 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_read);
+ array_muxed5 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
- vns_array_muxed5 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_read);
+ array_muxed5 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
end
default: begin
- vns_array_muxed5 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_read);
+ array_muxed5 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
end
endcase
// synthesis translate_off
- dummy_d_393 = dummy_s;
+ dummy_d_332 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_394;
+reg dummy_d_333;
// synthesis translate_on
always @(*) begin
- vns_array_muxed6 <= 1'd0;
- case (soc_sdram_steerer_sel0)
+ array_muxed6 <= 1'd0;
+ case (litedramcore_steerer_sel0)
1'd0: begin
- vns_array_muxed6 <= 1'd0;
+ array_muxed6 <= 1'd0;
end
1'd1: begin
- vns_array_muxed6 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_write);
+ array_muxed6 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
- vns_array_muxed6 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_write);
+ array_muxed6 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
end
default: begin
- vns_array_muxed6 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_write);
+ array_muxed6 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
end
endcase
// synthesis translate_off
- dummy_d_394 = dummy_s;
+ dummy_d_333 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_395;
+reg dummy_d_334;
// synthesis translate_on
always @(*) begin
- vns_array_muxed7 <= 3'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed7 <= 3'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed7 <= soc_sdram_nop_ba[2:0];
+ array_muxed7 <= litedramcore_nop_ba[2:0];
end
1'd1: begin
- vns_array_muxed7 <= soc_sdram_choose_cmd_cmd_payload_ba[2:0];
+ array_muxed7 <= litedramcore_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
- vns_array_muxed7 <= soc_sdram_choose_req_cmd_payload_ba[2:0];
+ array_muxed7 <= litedramcore_choose_req_cmd_payload_ba[2:0];
end
default: begin
- vns_array_muxed7 <= soc_sdram_cmd_payload_ba[2:0];
+ array_muxed7 <= litedramcore_cmd_payload_ba[2:0];
end
endcase
// synthesis translate_off
- dummy_d_395 = dummy_s;
+ dummy_d_334 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_396;
+reg dummy_d_335;
// synthesis translate_on
always @(*) begin
- vns_array_muxed8 <= 15'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed8 <= 15'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed8 <= soc_sdram_nop_a;
+ array_muxed8 <= litedramcore_nop_a;
end
1'd1: begin
- vns_array_muxed8 <= soc_sdram_choose_cmd_cmd_payload_a;
+ array_muxed8 <= litedramcore_choose_cmd_cmd_payload_a;
end
2'd2: begin
- vns_array_muxed8 <= soc_sdram_choose_req_cmd_payload_a;
+ array_muxed8 <= litedramcore_choose_req_cmd_payload_a;
end
default: begin
- vns_array_muxed8 <= soc_sdram_cmd_payload_a;
+ array_muxed8 <= litedramcore_cmd_payload_a;
end
endcase
// synthesis translate_off
- dummy_d_396 = dummy_s;
+ dummy_d_335 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_397;
+reg dummy_d_336;
// synthesis translate_on
always @(*) begin
- vns_array_muxed9 <= 1'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed9 <= 1'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed9 <= 1'd0;
+ array_muxed9 <= 1'd0;
end
1'd1: begin
- vns_array_muxed9 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_cas);
+ array_muxed9 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
end
2'd2: begin
- vns_array_muxed9 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_cas);
+ array_muxed9 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
end
default: begin
- vns_array_muxed9 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_cas);
+ array_muxed9 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
end
endcase
// synthesis translate_off
- dummy_d_397 = dummy_s;
+ dummy_d_336 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_398;
+reg dummy_d_337;
// synthesis translate_on
always @(*) begin
- vns_array_muxed10 <= 1'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed10 <= 1'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed10 <= 1'd0;
+ array_muxed10 <= 1'd0;
end
1'd1: begin
- vns_array_muxed10 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_ras);
+ array_muxed10 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
end
2'd2: begin
- vns_array_muxed10 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_ras);
+ array_muxed10 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
end
default: begin
- vns_array_muxed10 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_ras);
+ array_muxed10 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
end
endcase
// synthesis translate_off
- dummy_d_398 = dummy_s;
+ dummy_d_337 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_399;
+reg dummy_d_338;
// synthesis translate_on
always @(*) begin
- vns_array_muxed11 <= 1'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed11 <= 1'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed11 <= 1'd0;
+ array_muxed11 <= 1'd0;
end
1'd1: begin
- vns_array_muxed11 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_we);
+ array_muxed11 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
end
2'd2: begin
- vns_array_muxed11 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_we);
+ array_muxed11 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
end
default: begin
- vns_array_muxed11 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_we);
+ array_muxed11 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
end
endcase
// synthesis translate_off
- dummy_d_399 = dummy_s;
+ dummy_d_338 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_400;
+reg dummy_d_339;
// synthesis translate_on
always @(*) begin
- vns_array_muxed12 <= 1'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed12 <= 1'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed12 <= 1'd0;
+ array_muxed12 <= 1'd0;
end
1'd1: begin
- vns_array_muxed12 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_read);
+ array_muxed12 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
- vns_array_muxed12 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_read);
+ array_muxed12 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
end
default: begin
- vns_array_muxed12 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_read);
+ array_muxed12 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
end
endcase
// synthesis translate_off
- dummy_d_400 = dummy_s;
+ dummy_d_339 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_401;
+reg dummy_d_340;
// synthesis translate_on
always @(*) begin
- vns_array_muxed13 <= 1'd0;
- case (soc_sdram_steerer_sel1)
+ array_muxed13 <= 1'd0;
+ case (litedramcore_steerer_sel1)
1'd0: begin
- vns_array_muxed13 <= 1'd0;
+ array_muxed13 <= 1'd0;
end
1'd1: begin
- vns_array_muxed13 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_write);
+ array_muxed13 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
- vns_array_muxed13 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_write);
+ array_muxed13 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
end
default: begin
- vns_array_muxed13 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_write);
+ array_muxed13 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
end
endcase
// synthesis translate_off
- dummy_d_401 = dummy_s;
+ dummy_d_340 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_402;
+reg dummy_d_341;
// synthesis translate_on
always @(*) begin
- vns_array_muxed14 <= 3'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed14 <= 3'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed14 <= soc_sdram_nop_ba[2:0];
+ array_muxed14 <= litedramcore_nop_ba[2:0];
end
1'd1: begin
- vns_array_muxed14 <= soc_sdram_choose_cmd_cmd_payload_ba[2:0];
+ array_muxed14 <= litedramcore_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
- vns_array_muxed14 <= soc_sdram_choose_req_cmd_payload_ba[2:0];
+ array_muxed14 <= litedramcore_choose_req_cmd_payload_ba[2:0];
end
default: begin
- vns_array_muxed14 <= soc_sdram_cmd_payload_ba[2:0];
+ array_muxed14 <= litedramcore_cmd_payload_ba[2:0];
end
endcase
// synthesis translate_off
- dummy_d_402 = dummy_s;
+ dummy_d_341 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_403;
+reg dummy_d_342;
// synthesis translate_on
always @(*) begin
- vns_array_muxed15 <= 15'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed15 <= 15'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed15 <= soc_sdram_nop_a;
+ array_muxed15 <= litedramcore_nop_a;
end
1'd1: begin
- vns_array_muxed15 <= soc_sdram_choose_cmd_cmd_payload_a;
+ array_muxed15 <= litedramcore_choose_cmd_cmd_payload_a;
end
2'd2: begin
- vns_array_muxed15 <= soc_sdram_choose_req_cmd_payload_a;
+ array_muxed15 <= litedramcore_choose_req_cmd_payload_a;
end
default: begin
- vns_array_muxed15 <= soc_sdram_cmd_payload_a;
+ array_muxed15 <= litedramcore_cmd_payload_a;
end
endcase
// synthesis translate_off
- dummy_d_403 = dummy_s;
+ dummy_d_342 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_404;
+reg dummy_d_343;
// synthesis translate_on
always @(*) begin
- vns_array_muxed16 <= 1'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed16 <= 1'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed16 <= 1'd0;
+ array_muxed16 <= 1'd0;
end
1'd1: begin
- vns_array_muxed16 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_cas);
+ array_muxed16 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
end
2'd2: begin
- vns_array_muxed16 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_cas);
+ array_muxed16 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
end
default: begin
- vns_array_muxed16 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_cas);
+ array_muxed16 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
end
endcase
// synthesis translate_off
- dummy_d_404 = dummy_s;
+ dummy_d_343 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_405;
+reg dummy_d_344;
// synthesis translate_on
always @(*) begin
- vns_array_muxed17 <= 1'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed17 <= 1'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed17 <= 1'd0;
+ array_muxed17 <= 1'd0;
end
1'd1: begin
- vns_array_muxed17 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_ras);
+ array_muxed17 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
end
2'd2: begin
- vns_array_muxed17 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_ras);
+ array_muxed17 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
end
default: begin
- vns_array_muxed17 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_ras);
+ array_muxed17 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
end
endcase
// synthesis translate_off
- dummy_d_405 = dummy_s;
+ dummy_d_344 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_406;
+reg dummy_d_345;
// synthesis translate_on
always @(*) begin
- vns_array_muxed18 <= 1'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed18 <= 1'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed18 <= 1'd0;
+ array_muxed18 <= 1'd0;
end
1'd1: begin
- vns_array_muxed18 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_we);
+ array_muxed18 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
end
2'd2: begin
- vns_array_muxed18 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_we);
+ array_muxed18 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
end
default: begin
- vns_array_muxed18 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_we);
+ array_muxed18 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
end
endcase
// synthesis translate_off
- dummy_d_406 = dummy_s;
+ dummy_d_345 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_407;
+reg dummy_d_346;
// synthesis translate_on
always @(*) begin
- vns_array_muxed19 <= 1'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed19 <= 1'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed19 <= 1'd0;
+ array_muxed19 <= 1'd0;
end
1'd1: begin
- vns_array_muxed19 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_read);
+ array_muxed19 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
- vns_array_muxed19 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_read);
+ array_muxed19 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
end
default: begin
- vns_array_muxed19 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_read);
+ array_muxed19 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
end
endcase
// synthesis translate_off
- dummy_d_407 = dummy_s;
+ dummy_d_346 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_408;
+reg dummy_d_347;
// synthesis translate_on
always @(*) begin
- vns_array_muxed20 <= 1'd0;
- case (soc_sdram_steerer_sel2)
+ array_muxed20 <= 1'd0;
+ case (litedramcore_steerer_sel2)
1'd0: begin
- vns_array_muxed20 <= 1'd0;
+ array_muxed20 <= 1'd0;
end
1'd1: begin
- vns_array_muxed20 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_write);
+ array_muxed20 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
- vns_array_muxed20 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_write);
+ array_muxed20 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
end
default: begin
- vns_array_muxed20 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_write);
+ array_muxed20 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
end
endcase
// synthesis translate_off
- dummy_d_408 = dummy_s;
+ dummy_d_347 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_409;
+reg dummy_d_348;
// synthesis translate_on
always @(*) begin
- vns_array_muxed21 <= 3'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed21 <= 3'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed21 <= soc_sdram_nop_ba[2:0];
+ array_muxed21 <= litedramcore_nop_ba[2:0];
end
1'd1: begin
- vns_array_muxed21 <= soc_sdram_choose_cmd_cmd_payload_ba[2:0];
+ array_muxed21 <= litedramcore_choose_cmd_cmd_payload_ba[2:0];
end
2'd2: begin
- vns_array_muxed21 <= soc_sdram_choose_req_cmd_payload_ba[2:0];
+ array_muxed21 <= litedramcore_choose_req_cmd_payload_ba[2:0];
end
default: begin
- vns_array_muxed21 <= soc_sdram_cmd_payload_ba[2:0];
+ array_muxed21 <= litedramcore_cmd_payload_ba[2:0];
end
endcase
// synthesis translate_off
- dummy_d_409 = dummy_s;
+ dummy_d_348 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_410;
+reg dummy_d_349;
// synthesis translate_on
always @(*) begin
- vns_array_muxed22 <= 15'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed22 <= 15'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed22 <= soc_sdram_nop_a;
+ array_muxed22 <= litedramcore_nop_a;
end
1'd1: begin
- vns_array_muxed22 <= soc_sdram_choose_cmd_cmd_payload_a;
+ array_muxed22 <= litedramcore_choose_cmd_cmd_payload_a;
end
2'd2: begin
- vns_array_muxed22 <= soc_sdram_choose_req_cmd_payload_a;
+ array_muxed22 <= litedramcore_choose_req_cmd_payload_a;
end
default: begin
- vns_array_muxed22 <= soc_sdram_cmd_payload_a;
+ array_muxed22 <= litedramcore_cmd_payload_a;
end
endcase
// synthesis translate_off
- dummy_d_410 = dummy_s;
+ dummy_d_349 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_411;
+reg dummy_d_350;
// synthesis translate_on
always @(*) begin
- vns_array_muxed23 <= 1'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed23 <= 1'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed23 <= 1'd0;
+ array_muxed23 <= 1'd0;
end
1'd1: begin
- vns_array_muxed23 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_cas);
+ array_muxed23 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
end
2'd2: begin
- vns_array_muxed23 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_cas);
+ array_muxed23 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
end
default: begin
- vns_array_muxed23 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_cas);
+ array_muxed23 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
end
endcase
// synthesis translate_off
- dummy_d_411 = dummy_s;
+ dummy_d_350 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_412;
+reg dummy_d_351;
// synthesis translate_on
always @(*) begin
- vns_array_muxed24 <= 1'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed24 <= 1'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed24 <= 1'd0;
+ array_muxed24 <= 1'd0;
end
1'd1: begin
- vns_array_muxed24 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_ras);
+ array_muxed24 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
end
2'd2: begin
- vns_array_muxed24 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_ras);
+ array_muxed24 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
end
default: begin
- vns_array_muxed24 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_ras);
+ array_muxed24 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
end
endcase
// synthesis translate_off
- dummy_d_412 = dummy_s;
+ dummy_d_351 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_413;
+reg dummy_d_352;
// synthesis translate_on
always @(*) begin
- vns_array_muxed25 <= 1'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed25 <= 1'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed25 <= 1'd0;
+ array_muxed25 <= 1'd0;
end
1'd1: begin
- vns_array_muxed25 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_we);
+ array_muxed25 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
end
2'd2: begin
- vns_array_muxed25 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_we);
+ array_muxed25 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
end
default: begin
- vns_array_muxed25 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_we);
+ array_muxed25 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
end
endcase
// synthesis translate_off
- dummy_d_413 = dummy_s;
+ dummy_d_352 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_414;
+reg dummy_d_353;
// synthesis translate_on
always @(*) begin
- vns_array_muxed26 <= 1'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed26 <= 1'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed26 <= 1'd0;
+ array_muxed26 <= 1'd0;
end
1'd1: begin
- vns_array_muxed26 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_read);
+ array_muxed26 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
end
2'd2: begin
- vns_array_muxed26 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_read);
+ array_muxed26 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
end
default: begin
- vns_array_muxed26 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_read);
+ array_muxed26 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
end
endcase
// synthesis translate_off
- dummy_d_414 = dummy_s;
+ dummy_d_353 = dummy_s;
// synthesis translate_on
end
// synthesis translate_off
-reg dummy_d_415;
+reg dummy_d_354;
// synthesis translate_on
always @(*) begin
- vns_array_muxed27 <= 1'd0;
- case (soc_sdram_steerer_sel3)
+ array_muxed27 <= 1'd0;
+ case (litedramcore_steerer_sel3)
1'd0: begin
- vns_array_muxed27 <= 1'd0;
+ array_muxed27 <= 1'd0;
end
1'd1: begin
- vns_array_muxed27 <= ((soc_sdram_choose_cmd_cmd_valid & soc_sdram_choose_cmd_cmd_ready) & soc_sdram_choose_cmd_cmd_payload_is_write);
+ array_muxed27 <= ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
end
2'd2: begin
- vns_array_muxed27 <= ((soc_sdram_choose_req_cmd_valid & soc_sdram_choose_req_cmd_ready) & soc_sdram_choose_req_cmd_payload_is_write);
+ array_muxed27 <= ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
end
default: begin
- vns_array_muxed27 <= ((soc_sdram_cmd_valid & soc_sdram_cmd_ready) & soc_sdram_cmd_payload_is_write);
+ array_muxed27 <= ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
end
endcase
// synthesis translate_off
- dummy_d_415 = dummy_s;
-// synthesis translate_on
-end
-assign soc_litedramcore_rx = vns_regs1;
-assign vns_xilinxasyncresetsynchronizerimpl0 = ((~soc_sys_pll_locked) | soc_sys_pll_reset);
-assign vns_xilinxasyncresetsynchronizerimpl1 = ((~soc_sys_pll_locked) | soc_sys_pll_reset);
-assign vns_xilinxasyncresetsynchronizerimpl2 = ((~soc_sys_pll_locked) | soc_sys_pll_reset);
-assign vns_xilinxasyncresetsynchronizerimpl3 = ((~soc_iodelay_pll_locked) | soc_iodelay_pll_reset);
-
-always @(posedge iodelay_clk) begin
- if ((soc_reset_counter != 1'd0)) begin
- soc_reset_counter <= (soc_reset_counter - 1'd1);
- end else begin
- soc_ic_reset <= 1'd0;
- end
- if (iodelay_rst) begin
- soc_reset_counter <= 4'd15;
- soc_ic_reset <= 1'd1;
- end
-end
-
-always @(posedge sys_clk) begin
- if ((soc_litedramcore_soccontroller_bus_errors != 32'd4294967295)) begin
- if (soc_litedramcore_soccontroller_bus_error) begin
- soc_litedramcore_soccontroller_bus_errors <= (soc_litedramcore_soccontroller_bus_errors + 1'd1);
- end
- end
- soc_litedramcore_litedramcore_ram_bus_ack <= 1'd0;
- if (((soc_litedramcore_litedramcore_ram_bus_cyc & soc_litedramcore_litedramcore_ram_bus_stb) & (~soc_litedramcore_litedramcore_ram_bus_ack))) begin
- soc_litedramcore_litedramcore_ram_bus_ack <= 1'd1;
- end
- soc_litedramcore_ram_bus_ram_bus_ack <= 1'd0;
- if (((soc_litedramcore_ram_bus_ram_bus_cyc & soc_litedramcore_ram_bus_ram_bus_stb) & (~soc_litedramcore_ram_bus_ram_bus_ack))) begin
- soc_litedramcore_ram_bus_ram_bus_ack <= 1'd1;
- end
- soc_litedramcore_sink_ready <= 1'd0;
- if (((soc_litedramcore_sink_valid & (~soc_litedramcore_tx_busy)) & (~soc_litedramcore_sink_ready))) begin
- soc_litedramcore_tx_reg <= soc_litedramcore_sink_payload_data;
- soc_litedramcore_tx_bitcount <= 1'd0;
- soc_litedramcore_tx_busy <= 1'd1;
- serial_tx <= 1'd0;
- end else begin
- if ((soc_litedramcore_uart_clk_txen & soc_litedramcore_tx_busy)) begin
- soc_litedramcore_tx_bitcount <= (soc_litedramcore_tx_bitcount + 1'd1);
- if ((soc_litedramcore_tx_bitcount == 4'd8)) begin
- serial_tx <= 1'd1;
- end else begin
- if ((soc_litedramcore_tx_bitcount == 4'd9)) begin
- serial_tx <= 1'd1;
- soc_litedramcore_tx_busy <= 1'd0;
- soc_litedramcore_sink_ready <= 1'd1;
- end else begin
- serial_tx <= soc_litedramcore_tx_reg[0];
- soc_litedramcore_tx_reg <= {1'd0, soc_litedramcore_tx_reg[7:1]};
- end
- end
- end
- end
- if (soc_litedramcore_tx_busy) begin
- {soc_litedramcore_uart_clk_txen, soc_litedramcore_phase_accumulator_tx} <= (soc_litedramcore_phase_accumulator_tx + soc_litedramcore_storage);
- end else begin
- {soc_litedramcore_uart_clk_txen, soc_litedramcore_phase_accumulator_tx} <= 1'd0;
- end
- soc_litedramcore_source_valid <= 1'd0;
- soc_litedramcore_rx_r <= soc_litedramcore_rx;
- if ((~soc_litedramcore_rx_busy)) begin
- if (((~soc_litedramcore_rx) & soc_litedramcore_rx_r)) begin
- soc_litedramcore_rx_busy <= 1'd1;
- soc_litedramcore_rx_bitcount <= 1'd0;
- end
- end else begin
- if (soc_litedramcore_uart_clk_rxen) begin
- soc_litedramcore_rx_bitcount <= (soc_litedramcore_rx_bitcount + 1'd1);
- if ((soc_litedramcore_rx_bitcount == 1'd0)) begin
- if (soc_litedramcore_rx) begin
- soc_litedramcore_rx_busy <= 1'd0;
- end
- end else begin
- if ((soc_litedramcore_rx_bitcount == 4'd9)) begin
- soc_litedramcore_rx_busy <= 1'd0;
- if (soc_litedramcore_rx) begin
- soc_litedramcore_source_payload_data <= soc_litedramcore_rx_reg;
- soc_litedramcore_source_valid <= 1'd1;
- end
- end else begin
- soc_litedramcore_rx_reg <= {soc_litedramcore_rx, soc_litedramcore_rx_reg[7:1]};
- end
- end
- end
- end
- if (soc_litedramcore_rx_busy) begin
- {soc_litedramcore_uart_clk_rxen, soc_litedramcore_phase_accumulator_rx} <= (soc_litedramcore_phase_accumulator_rx + soc_litedramcore_storage);
- end else begin
- {soc_litedramcore_uart_clk_rxen, soc_litedramcore_phase_accumulator_rx} <= 32'd2147483648;
- end
- if (soc_litedramcore_uart_tx_clear) begin
- soc_litedramcore_uart_tx_pending <= 1'd0;
- end
- soc_litedramcore_uart_tx_old_trigger <= soc_litedramcore_uart_tx_trigger;
- if (((~soc_litedramcore_uart_tx_trigger) & soc_litedramcore_uart_tx_old_trigger)) begin
- soc_litedramcore_uart_tx_pending <= 1'd1;
- end
- if (soc_litedramcore_uart_rx_clear) begin
- soc_litedramcore_uart_rx_pending <= 1'd0;
- end
- soc_litedramcore_uart_rx_old_trigger <= soc_litedramcore_uart_rx_trigger;
- if (((~soc_litedramcore_uart_rx_trigger) & soc_litedramcore_uart_rx_old_trigger)) begin
- soc_litedramcore_uart_rx_pending <= 1'd1;
- end
- if (soc_litedramcore_uart_tx_fifo_syncfifo_re) begin
- soc_litedramcore_uart_tx_fifo_readable <= 1'd1;
- end else begin
- if (soc_litedramcore_uart_tx_fifo_re) begin
- soc_litedramcore_uart_tx_fifo_readable <= 1'd0;
- end
- end
- if (((soc_litedramcore_uart_tx_fifo_syncfifo_we & soc_litedramcore_uart_tx_fifo_syncfifo_writable) & (~soc_litedramcore_uart_tx_fifo_replace))) begin
- soc_litedramcore_uart_tx_fifo_produce <= (soc_litedramcore_uart_tx_fifo_produce + 1'd1);
- end
- if (soc_litedramcore_uart_tx_fifo_do_read) begin
- soc_litedramcore_uart_tx_fifo_consume <= (soc_litedramcore_uart_tx_fifo_consume + 1'd1);
- end
- if (((soc_litedramcore_uart_tx_fifo_syncfifo_we & soc_litedramcore_uart_tx_fifo_syncfifo_writable) & (~soc_litedramcore_uart_tx_fifo_replace))) begin
- if ((~soc_litedramcore_uart_tx_fifo_do_read)) begin
- soc_litedramcore_uart_tx_fifo_level0 <= (soc_litedramcore_uart_tx_fifo_level0 + 1'd1);
- end
- end else begin
- if (soc_litedramcore_uart_tx_fifo_do_read) begin
- soc_litedramcore_uart_tx_fifo_level0 <= (soc_litedramcore_uart_tx_fifo_level0 - 1'd1);
- end
- end
- if (soc_litedramcore_uart_rx_fifo_syncfifo_re) begin
- soc_litedramcore_uart_rx_fifo_readable <= 1'd1;
- end else begin
- if (soc_litedramcore_uart_rx_fifo_re) begin
- soc_litedramcore_uart_rx_fifo_readable <= 1'd0;
- end
- end
- if (((soc_litedramcore_uart_rx_fifo_syncfifo_we & soc_litedramcore_uart_rx_fifo_syncfifo_writable) & (~soc_litedramcore_uart_rx_fifo_replace))) begin
- soc_litedramcore_uart_rx_fifo_produce <= (soc_litedramcore_uart_rx_fifo_produce + 1'd1);
- end
- if (soc_litedramcore_uart_rx_fifo_do_read) begin
- soc_litedramcore_uart_rx_fifo_consume <= (soc_litedramcore_uart_rx_fifo_consume + 1'd1);
- end
- if (((soc_litedramcore_uart_rx_fifo_syncfifo_we & soc_litedramcore_uart_rx_fifo_syncfifo_writable) & (~soc_litedramcore_uart_rx_fifo_replace))) begin
- if ((~soc_litedramcore_uart_rx_fifo_do_read)) begin
- soc_litedramcore_uart_rx_fifo_level0 <= (soc_litedramcore_uart_rx_fifo_level0 + 1'd1);
- end
- end else begin
- if (soc_litedramcore_uart_rx_fifo_do_read) begin
- soc_litedramcore_uart_rx_fifo_level0 <= (soc_litedramcore_uart_rx_fifo_level0 - 1'd1);
- end
- end
- if (soc_litedramcore_uart_reset) begin
- soc_litedramcore_uart_tx_pending <= 1'd0;
- soc_litedramcore_uart_tx_old_trigger <= 1'd0;
- soc_litedramcore_uart_rx_pending <= 1'd0;
- soc_litedramcore_uart_rx_old_trigger <= 1'd0;
- soc_litedramcore_uart_tx_fifo_readable <= 1'd0;
- soc_litedramcore_uart_tx_fifo_level0 <= 5'd0;
- soc_litedramcore_uart_tx_fifo_produce <= 4'd0;
- soc_litedramcore_uart_tx_fifo_consume <= 4'd0;
- soc_litedramcore_uart_rx_fifo_readable <= 1'd0;
- soc_litedramcore_uart_rx_fifo_level0 <= 5'd0;
- soc_litedramcore_uart_rx_fifo_produce <= 4'd0;
- soc_litedramcore_uart_rx_fifo_consume <= 4'd0;
- end
- if (soc_litedramcore_timer_en_storage) begin
- if ((soc_litedramcore_timer_value == 1'd0)) begin
- soc_litedramcore_timer_value <= soc_litedramcore_timer_reload_storage;
- end else begin
- soc_litedramcore_timer_value <= (soc_litedramcore_timer_value - 1'd1);
- end
+ dummy_d_354 = dummy_s;
+// synthesis translate_on
+end
+assign xilinxasyncresetsynchronizerimpl0 = ((~sys_pll_locked) | sys_pll_reset);
+assign xilinxasyncresetsynchronizerimpl1 = ((~sys_pll_locked) | sys_pll_reset);
+assign xilinxasyncresetsynchronizerimpl2 = ((~sys_pll_locked) | sys_pll_reset);
+assign xilinxasyncresetsynchronizerimpl3 = ((~iodelay_pll_locked) | iodelay_pll_reset);
+
+always @(posedge iodelay_clk) begin
+ if ((reset_counter != 1'd0)) begin
+ reset_counter <= (reset_counter - 1'd1);
end else begin
- soc_litedramcore_timer_value <= soc_litedramcore_timer_load_storage;
- end
- if (soc_litedramcore_timer_update_value_re) begin
- soc_litedramcore_timer_value_status <= soc_litedramcore_timer_value;
+ ic_reset <= 1'd0;
end
- if (soc_litedramcore_timer_zero_clear) begin
- soc_litedramcore_timer_zero_pending <= 1'd0;
- end
- soc_litedramcore_timer_zero_old_trigger <= soc_litedramcore_timer_zero_trigger;
- if (((~soc_litedramcore_timer_zero_trigger) & soc_litedramcore_timer_zero_old_trigger)) begin
- soc_litedramcore_timer_zero_pending <= 1'd1;
+ if (iodelay_rst) begin
+ reset_counter <= 4'd15;
+ ic_reset <= 1'd1;
end
- vns_wb2csr_state <= vns_wb2csr_next_state;
- soc_a7ddrphy_dqs_oe_delayed <= ((soc_a7ddrphy_dqspattern0 | soc_a7ddrphy_dqs_oe) | soc_a7ddrphy_dqspattern1);
- soc_a7ddrphy_dq_oe_delayed <= ((soc_a7ddrphy_dqspattern0 | soc_a7ddrphy_dq_oe) | soc_a7ddrphy_dqspattern1);
- soc_a7ddrphy_rddata_en_last <= soc_a7ddrphy_rddata_en;
- soc_a7ddrphy_dfi_p0_rddata_valid <= (soc_a7ddrphy_rddata_en[7] | soc_a7ddrphy_wlevel_en_storage);
- soc_a7ddrphy_dfi_p1_rddata_valid <= (soc_a7ddrphy_rddata_en[7] | soc_a7ddrphy_wlevel_en_storage);
- soc_a7ddrphy_dfi_p2_rddata_valid <= (soc_a7ddrphy_rddata_en[7] | soc_a7ddrphy_wlevel_en_storage);
- soc_a7ddrphy_dfi_p3_rddata_valid <= (soc_a7ddrphy_rddata_en[7] | soc_a7ddrphy_wlevel_en_storage);
- soc_a7ddrphy_wrdata_en_last <= soc_a7ddrphy_wrdata_en;
- soc_a7ddrphy_dqspattern_o1 <= soc_a7ddrphy_dqspattern_o0;
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip0_value <= (soc_a7ddrphy_bitslip0_value + 1'd1);
+end
+
+always @(posedge sys_clk) begin
+ a7ddrphy_dqs_oe_delayed <= ((a7ddrphy_dqspattern0 | a7ddrphy_dqs_oe) | a7ddrphy_dqspattern1);
+ a7ddrphy_dq_oe_delayed <= ((a7ddrphy_dqspattern0 | a7ddrphy_dq_oe) | a7ddrphy_dqspattern1);
+ a7ddrphy_rddata_en_last <= a7ddrphy_rddata_en;
+ a7ddrphy_dfi_p0_rddata_valid <= (a7ddrphy_rddata_en[7] | a7ddrphy_wlevel_en_storage);
+ a7ddrphy_dfi_p1_rddata_valid <= (a7ddrphy_rddata_en[7] | a7ddrphy_wlevel_en_storage);
+ a7ddrphy_dfi_p2_rddata_valid <= (a7ddrphy_rddata_en[7] | a7ddrphy_wlevel_en_storage);
+ a7ddrphy_dfi_p3_rddata_valid <= (a7ddrphy_rddata_en[7] | a7ddrphy_wlevel_en_storage);
+ a7ddrphy_wrdata_en_last <= a7ddrphy_wrdata_en;
+ a7ddrphy_dqspattern_o1 <= a7ddrphy_dqspattern_o0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip0_value <= (a7ddrphy_bitslip0_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip0_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip0_value <= 1'd0;
end
- soc_a7ddrphy_bitslip0_r <= {soc_a7ddrphy_bitslip0_i, soc_a7ddrphy_bitslip0_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip1_value <= (soc_a7ddrphy_bitslip1_value + 1'd1);
+ a7ddrphy_bitslip0_r <= {a7ddrphy_bitslip0_i, a7ddrphy_bitslip0_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip1_value <= (a7ddrphy_bitslip1_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip1_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip1_value <= 1'd0;
end
- soc_a7ddrphy_bitslip1_r <= {soc_a7ddrphy_bitslip1_i, soc_a7ddrphy_bitslip1_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip2_value <= (soc_a7ddrphy_bitslip2_value + 1'd1);
+ a7ddrphy_bitslip1_r <= {a7ddrphy_bitslip1_i, a7ddrphy_bitslip1_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip2_value <= (a7ddrphy_bitslip2_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip2_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip2_value <= 1'd0;
end
- soc_a7ddrphy_bitslip2_r <= {soc_a7ddrphy_bitslip2_i, soc_a7ddrphy_bitslip2_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip3_value <= (soc_a7ddrphy_bitslip3_value + 1'd1);
+ a7ddrphy_bitslip2_r <= {a7ddrphy_bitslip2_i, a7ddrphy_bitslip2_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip3_value <= (a7ddrphy_bitslip3_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip3_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip3_value <= 1'd0;
end
- soc_a7ddrphy_bitslip3_r <= {soc_a7ddrphy_bitslip3_i, soc_a7ddrphy_bitslip3_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip4_value <= (soc_a7ddrphy_bitslip4_value + 1'd1);
+ a7ddrphy_bitslip3_r <= {a7ddrphy_bitslip3_i, a7ddrphy_bitslip3_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip4_value <= (a7ddrphy_bitslip4_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip4_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip4_value <= 1'd0;
end
- soc_a7ddrphy_bitslip4_r <= {soc_a7ddrphy_bitslip4_i, soc_a7ddrphy_bitslip4_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip5_value <= (soc_a7ddrphy_bitslip5_value + 1'd1);
+ a7ddrphy_bitslip4_r <= {a7ddrphy_bitslip4_i, a7ddrphy_bitslip4_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip5_value <= (a7ddrphy_bitslip5_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip5_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip5_value <= 1'd0;
end
- soc_a7ddrphy_bitslip5_r <= {soc_a7ddrphy_bitslip5_i, soc_a7ddrphy_bitslip5_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip6_value <= (soc_a7ddrphy_bitslip6_value + 1'd1);
+ a7ddrphy_bitslip5_r <= {a7ddrphy_bitslip5_i, a7ddrphy_bitslip5_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip6_value <= (a7ddrphy_bitslip6_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip6_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip6_value <= 1'd0;
end
- soc_a7ddrphy_bitslip6_r <= {soc_a7ddrphy_bitslip6_i, soc_a7ddrphy_bitslip6_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip7_value <= (soc_a7ddrphy_bitslip7_value + 1'd1);
+ a7ddrphy_bitslip6_r <= {a7ddrphy_bitslip6_i, a7ddrphy_bitslip6_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip7_value <= (a7ddrphy_bitslip7_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip7_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip7_value <= 1'd0;
end
- soc_a7ddrphy_bitslip7_r <= {soc_a7ddrphy_bitslip7_i, soc_a7ddrphy_bitslip7_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip8_value <= (soc_a7ddrphy_bitslip8_value + 1'd1);
+ a7ddrphy_bitslip7_r <= {a7ddrphy_bitslip7_i, a7ddrphy_bitslip7_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip8_value <= (a7ddrphy_bitslip8_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip8_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip8_value <= 1'd0;
end
- soc_a7ddrphy_bitslip8_r <= {soc_a7ddrphy_bitslip8_i, soc_a7ddrphy_bitslip8_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip9_value <= (soc_a7ddrphy_bitslip9_value + 1'd1);
+ a7ddrphy_bitslip8_r <= {a7ddrphy_bitslip8_i, a7ddrphy_bitslip8_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip9_value <= (a7ddrphy_bitslip9_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip9_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip9_value <= 1'd0;
end
- soc_a7ddrphy_bitslip9_r <= {soc_a7ddrphy_bitslip9_i, soc_a7ddrphy_bitslip9_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip10_value <= (soc_a7ddrphy_bitslip10_value + 1'd1);
+ a7ddrphy_bitslip9_r <= {a7ddrphy_bitslip9_i, a7ddrphy_bitslip9_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip10_value <= (a7ddrphy_bitslip10_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip10_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip10_value <= 1'd0;
end
- soc_a7ddrphy_bitslip10_r <= {soc_a7ddrphy_bitslip10_i, soc_a7ddrphy_bitslip10_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip11_value <= (soc_a7ddrphy_bitslip11_value + 1'd1);
+ a7ddrphy_bitslip10_r <= {a7ddrphy_bitslip10_i, a7ddrphy_bitslip10_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip11_value <= (a7ddrphy_bitslip11_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip11_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip11_value <= 1'd0;
end
- soc_a7ddrphy_bitslip11_r <= {soc_a7ddrphy_bitslip11_i, soc_a7ddrphy_bitslip11_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip12_value <= (soc_a7ddrphy_bitslip12_value + 1'd1);
+ a7ddrphy_bitslip11_r <= {a7ddrphy_bitslip11_i, a7ddrphy_bitslip11_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip12_value <= (a7ddrphy_bitslip12_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip12_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip12_value <= 1'd0;
end
- soc_a7ddrphy_bitslip12_r <= {soc_a7ddrphy_bitslip12_i, soc_a7ddrphy_bitslip12_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip13_value <= (soc_a7ddrphy_bitslip13_value + 1'd1);
+ a7ddrphy_bitslip12_r <= {a7ddrphy_bitslip12_i, a7ddrphy_bitslip12_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip13_value <= (a7ddrphy_bitslip13_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip13_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip13_value <= 1'd0;
end
- soc_a7ddrphy_bitslip13_r <= {soc_a7ddrphy_bitslip13_i, soc_a7ddrphy_bitslip13_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip14_value <= (soc_a7ddrphy_bitslip14_value + 1'd1);
+ a7ddrphy_bitslip13_r <= {a7ddrphy_bitslip13_i, a7ddrphy_bitslip13_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip14_value <= (a7ddrphy_bitslip14_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip14_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip14_value <= 1'd0;
end
- soc_a7ddrphy_bitslip14_r <= {soc_a7ddrphy_bitslip14_i, soc_a7ddrphy_bitslip14_r[15:8]};
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_re)) begin
- soc_a7ddrphy_bitslip15_value <= (soc_a7ddrphy_bitslip15_value + 1'd1);
+ a7ddrphy_bitslip14_r <= {a7ddrphy_bitslip14_i, a7ddrphy_bitslip14_r[15:8]};
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_re)) begin
+ a7ddrphy_bitslip15_value <= (a7ddrphy_bitslip15_value + 1'd1);
end
- if ((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_bitslip_rst_re)) begin
- soc_a7ddrphy_bitslip15_value <= 1'd0;
+ if ((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_bitslip_rst_re)) begin
+ a7ddrphy_bitslip15_value <= 1'd0;
end
- soc_a7ddrphy_bitslip15_r <= {soc_a7ddrphy_bitslip15_i, soc_a7ddrphy_bitslip15_r[15:8]};
- if (soc_sdram_inti_p0_rddata_valid) begin
- soc_sdram_phaseinjector0_status <= soc_sdram_inti_p0_rddata;
+ a7ddrphy_bitslip15_r <= {a7ddrphy_bitslip15_i, a7ddrphy_bitslip15_r[15:8]};
+ if (litedramcore_inti_p0_rddata_valid) begin
+ litedramcore_phaseinjector0_status <= litedramcore_inti_p0_rddata;
end
- if (soc_sdram_inti_p1_rddata_valid) begin
- soc_sdram_phaseinjector1_status <= soc_sdram_inti_p1_rddata;
+ if (litedramcore_inti_p1_rddata_valid) begin
+ litedramcore_phaseinjector1_status <= litedramcore_inti_p1_rddata;
end
- if (soc_sdram_inti_p2_rddata_valid) begin
- soc_sdram_phaseinjector2_status <= soc_sdram_inti_p2_rddata;
+ if (litedramcore_inti_p2_rddata_valid) begin
+ litedramcore_phaseinjector2_status <= litedramcore_inti_p2_rddata;
end
- if (soc_sdram_inti_p3_rddata_valid) begin
- soc_sdram_phaseinjector3_status <= soc_sdram_inti_p3_rddata;
+ if (litedramcore_inti_p3_rddata_valid) begin
+ litedramcore_phaseinjector3_status <= litedramcore_inti_p3_rddata;
end
- if ((soc_sdram_timer_wait & (~soc_sdram_timer_done0))) begin
- soc_sdram_timer_count1 <= (soc_sdram_timer_count1 - 1'd1);
+ if ((litedramcore_timer_wait & (~litedramcore_timer_done0))) begin
+ litedramcore_timer_count1 <= (litedramcore_timer_count1 - 1'd1);
end else begin
- soc_sdram_timer_count1 <= 10'd781;
+ litedramcore_timer_count1 <= 10'd781;
end
- soc_sdram_postponer_req_o <= 1'd0;
- if (soc_sdram_postponer_req_i) begin
- soc_sdram_postponer_count <= (soc_sdram_postponer_count - 1'd1);
- if ((soc_sdram_postponer_count == 1'd0)) begin
- soc_sdram_postponer_count <= 1'd0;
- soc_sdram_postponer_req_o <= 1'd1;
+ litedramcore_postponer_req_o <= 1'd0;
+ if (litedramcore_postponer_req_i) begin
+ litedramcore_postponer_count <= (litedramcore_postponer_count - 1'd1);
+ if ((litedramcore_postponer_count == 1'd0)) begin
+ litedramcore_postponer_count <= 1'd0;
+ litedramcore_postponer_req_o <= 1'd1;
end
end
- if (soc_sdram_sequencer_start0) begin
- soc_sdram_sequencer_count <= 1'd0;
+ if (litedramcore_sequencer_start0) begin
+ litedramcore_sequencer_count <= 1'd0;
end else begin
- if (soc_sdram_sequencer_done1) begin
- if ((soc_sdram_sequencer_count != 1'd0)) begin
- soc_sdram_sequencer_count <= (soc_sdram_sequencer_count - 1'd1);
- end
- end
- end
- soc_sdram_cmd_payload_a <= 1'd0;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd0;
- soc_sdram_cmd_payload_ras <= 1'd0;
- soc_sdram_cmd_payload_we <= 1'd0;
- soc_sdram_sequencer_done1 <= 1'd0;
- if ((soc_sdram_sequencer_start1 & (soc_sdram_sequencer_counter == 1'd0))) begin
- soc_sdram_cmd_payload_a <= 11'd1024;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd0;
- soc_sdram_cmd_payload_ras <= 1'd1;
- soc_sdram_cmd_payload_we <= 1'd1;
- end
- if ((soc_sdram_sequencer_counter == 2'd3)) begin
- soc_sdram_cmd_payload_a <= 1'd0;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd1;
- soc_sdram_cmd_payload_ras <= 1'd1;
- soc_sdram_cmd_payload_we <= 1'd0;
- end
- if ((soc_sdram_sequencer_counter == 6'd55)) begin
- soc_sdram_cmd_payload_a <= 1'd0;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd0;
- soc_sdram_cmd_payload_ras <= 1'd0;
- soc_sdram_cmd_payload_we <= 1'd0;
- soc_sdram_sequencer_done1 <= 1'd1;
- end
- if ((soc_sdram_sequencer_counter == 6'd55)) begin
- soc_sdram_sequencer_counter <= 1'd0;
+ if (litedramcore_sequencer_done1) begin
+ if ((litedramcore_sequencer_count != 1'd0)) begin
+ litedramcore_sequencer_count <= (litedramcore_sequencer_count - 1'd1);
+ end
+ end
+ end
+ litedramcore_cmd_payload_a <= 1'd0;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd0;
+ litedramcore_cmd_payload_ras <= 1'd0;
+ litedramcore_cmd_payload_we <= 1'd0;
+ litedramcore_sequencer_done1 <= 1'd0;
+ if ((litedramcore_sequencer_start1 & (litedramcore_sequencer_counter == 1'd0))) begin
+ litedramcore_cmd_payload_a <= 11'd1024;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd0;
+ litedramcore_cmd_payload_ras <= 1'd1;
+ litedramcore_cmd_payload_we <= 1'd1;
+ end
+ if ((litedramcore_sequencer_counter == 2'd3)) begin
+ litedramcore_cmd_payload_a <= 1'd0;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd1;
+ litedramcore_cmd_payload_ras <= 1'd1;
+ litedramcore_cmd_payload_we <= 1'd0;
+ end
+ if ((litedramcore_sequencer_counter == 6'd55)) begin
+ litedramcore_cmd_payload_a <= 1'd0;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd0;
+ litedramcore_cmd_payload_ras <= 1'd0;
+ litedramcore_cmd_payload_we <= 1'd0;
+ litedramcore_sequencer_done1 <= 1'd1;
+ end
+ if ((litedramcore_sequencer_counter == 6'd55)) begin
+ litedramcore_sequencer_counter <= 1'd0;
end else begin
- if ((soc_sdram_sequencer_counter != 1'd0)) begin
- soc_sdram_sequencer_counter <= (soc_sdram_sequencer_counter + 1'd1);
+ if ((litedramcore_sequencer_counter != 1'd0)) begin
+ litedramcore_sequencer_counter <= (litedramcore_sequencer_counter + 1'd1);
end else begin
- if (soc_sdram_sequencer_start1) begin
- soc_sdram_sequencer_counter <= 1'd1;
+ if (litedramcore_sequencer_start1) begin
+ litedramcore_sequencer_counter <= 1'd1;
end
end
end
- if ((soc_sdram_zqcs_timer_wait & (~soc_sdram_zqcs_timer_done0))) begin
- soc_sdram_zqcs_timer_count1 <= (soc_sdram_zqcs_timer_count1 - 1'd1);
+ if ((litedramcore_zqcs_timer_wait & (~litedramcore_zqcs_timer_done0))) begin
+ litedramcore_zqcs_timer_count1 <= (litedramcore_zqcs_timer_count1 - 1'd1);
end else begin
- soc_sdram_zqcs_timer_count1 <= 27'd99999999;
- end
- soc_sdram_zqcs_executer_done <= 1'd0;
- if ((soc_sdram_zqcs_executer_start & (soc_sdram_zqcs_executer_counter == 1'd0))) begin
- soc_sdram_cmd_payload_a <= 11'd1024;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd0;
- soc_sdram_cmd_payload_ras <= 1'd1;
- soc_sdram_cmd_payload_we <= 1'd1;
- end
- if ((soc_sdram_zqcs_executer_counter == 2'd3)) begin
- soc_sdram_cmd_payload_a <= 1'd0;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd0;
- soc_sdram_cmd_payload_ras <= 1'd0;
- soc_sdram_cmd_payload_we <= 1'd1;
- end
- if ((soc_sdram_zqcs_executer_counter == 5'd19)) begin
- soc_sdram_cmd_payload_a <= 1'd0;
- soc_sdram_cmd_payload_ba <= 1'd0;
- soc_sdram_cmd_payload_cas <= 1'd0;
- soc_sdram_cmd_payload_ras <= 1'd0;
- soc_sdram_cmd_payload_we <= 1'd0;
- soc_sdram_zqcs_executer_done <= 1'd1;
- end
- if ((soc_sdram_zqcs_executer_counter == 5'd19)) begin
- soc_sdram_zqcs_executer_counter <= 1'd0;
+ litedramcore_zqcs_timer_count1 <= 27'd99999999;
+ end
+ litedramcore_zqcs_executer_done <= 1'd0;
+ if ((litedramcore_zqcs_executer_start & (litedramcore_zqcs_executer_counter == 1'd0))) begin
+ litedramcore_cmd_payload_a <= 11'd1024;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd0;
+ litedramcore_cmd_payload_ras <= 1'd1;
+ litedramcore_cmd_payload_we <= 1'd1;
+ end
+ if ((litedramcore_zqcs_executer_counter == 2'd3)) begin
+ litedramcore_cmd_payload_a <= 1'd0;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd0;
+ litedramcore_cmd_payload_ras <= 1'd0;
+ litedramcore_cmd_payload_we <= 1'd1;
+ end
+ if ((litedramcore_zqcs_executer_counter == 5'd19)) begin
+ litedramcore_cmd_payload_a <= 1'd0;
+ litedramcore_cmd_payload_ba <= 1'd0;
+ litedramcore_cmd_payload_cas <= 1'd0;
+ litedramcore_cmd_payload_ras <= 1'd0;
+ litedramcore_cmd_payload_we <= 1'd0;
+ litedramcore_zqcs_executer_done <= 1'd1;
+ end
+ if ((litedramcore_zqcs_executer_counter == 5'd19)) begin
+ litedramcore_zqcs_executer_counter <= 1'd0;
end else begin
- if ((soc_sdram_zqcs_executer_counter != 1'd0)) begin
- soc_sdram_zqcs_executer_counter <= (soc_sdram_zqcs_executer_counter + 1'd1);
+ if ((litedramcore_zqcs_executer_counter != 1'd0)) begin
+ litedramcore_zqcs_executer_counter <= (litedramcore_zqcs_executer_counter + 1'd1);
end else begin
- if (soc_sdram_zqcs_executer_start) begin
- soc_sdram_zqcs_executer_counter <= 1'd1;
+ if (litedramcore_zqcs_executer_start) begin
+ litedramcore_zqcs_executer_counter <= 1'd1;
end
end
end
- vns_refresher_state <= vns_refresher_next_state;
- if (soc_sdram_bankmachine0_row_close) begin
- soc_sdram_bankmachine0_row_opened <= 1'd0;
+ refresher_state <= refresher_next_state;
+ if (litedramcore_bankmachine0_row_close) begin
+ litedramcore_bankmachine0_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine0_row_open) begin
- soc_sdram_bankmachine0_row_opened <= 1'd1;
- soc_sdram_bankmachine0_row <= soc_sdram_bankmachine0_cmd_buffer_source_payload_addr[21:7];
+ if (litedramcore_bankmachine0_row_open) begin
+ litedramcore_bankmachine0_row_opened <= 1'd1;
+ litedramcore_bankmachine0_row <= litedramcore_bankmachine0_cmd_buffer_source_payload_addr[21:7];
end
end
- if (((soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~soc_sdram_bankmachine0_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine0_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine0_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine0_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine0_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine0_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & soc_sdram_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~soc_sdram_bankmachine0_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine0_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine0_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_we & litedramcore_bankmachine0_cmd_buffer_lookahead_syncfifo0_writable) & (~litedramcore_bankmachine0_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine0_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine0_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine0_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine0_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine0_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine0_cmd_buffer_lookahead_level <= (litedramcore_bankmachine0_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine0_cmd_buffer_source_valid) | soc_sdram_bankmachine0_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine0_cmd_buffer_source_valid <= soc_sdram_bankmachine0_cmd_buffer_sink_valid;
- soc_sdram_bankmachine0_cmd_buffer_source_first <= soc_sdram_bankmachine0_cmd_buffer_sink_first;
- soc_sdram_bankmachine0_cmd_buffer_source_last <= soc_sdram_bankmachine0_cmd_buffer_sink_last;
- soc_sdram_bankmachine0_cmd_buffer_source_payload_we <= soc_sdram_bankmachine0_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine0_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine0_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine0_cmd_buffer_source_valid) | litedramcore_bankmachine0_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine0_cmd_buffer_source_valid <= litedramcore_bankmachine0_cmd_buffer_sink_valid;
+ litedramcore_bankmachine0_cmd_buffer_source_first <= litedramcore_bankmachine0_cmd_buffer_sink_first;
+ litedramcore_bankmachine0_cmd_buffer_source_last <= litedramcore_bankmachine0_cmd_buffer_sink_last;
+ litedramcore_bankmachine0_cmd_buffer_source_payload_we <= litedramcore_bankmachine0_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine0_cmd_buffer_source_payload_addr <= litedramcore_bankmachine0_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine0_twtpcon_valid) begin
- soc_sdram_bankmachine0_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine0_twtpcon_valid) begin
+ litedramcore_bankmachine0_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine0_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine0_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine0_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine0_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine0_twtpcon_ready)) begin
- soc_sdram_bankmachine0_twtpcon_count <= (soc_sdram_bankmachine0_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine0_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine0_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine0_twtpcon_ready)) begin
+ litedramcore_bankmachine0_twtpcon_count <= (litedramcore_bankmachine0_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine0_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine0_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine0_trccon_valid) begin
- soc_sdram_bankmachine0_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine0_trccon_valid) begin
+ litedramcore_bankmachine0_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine0_trccon_ready <= 1'd1;
+ litedramcore_bankmachine0_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine0_trccon_ready <= 1'd0;
+ litedramcore_bankmachine0_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine0_trccon_ready)) begin
- soc_sdram_bankmachine0_trccon_count <= (soc_sdram_bankmachine0_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine0_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine0_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine0_trccon_ready)) begin
+ litedramcore_bankmachine0_trccon_count <= (litedramcore_bankmachine0_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine0_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine0_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine0_trascon_valid) begin
- soc_sdram_bankmachine0_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine0_trascon_valid) begin
+ litedramcore_bankmachine0_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine0_trascon_ready <= 1'd1;
+ litedramcore_bankmachine0_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine0_trascon_ready <= 1'd0;
+ litedramcore_bankmachine0_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine0_trascon_ready)) begin
- soc_sdram_bankmachine0_trascon_count <= (soc_sdram_bankmachine0_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine0_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine0_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine0_trascon_ready)) begin
+ litedramcore_bankmachine0_trascon_count <= (litedramcore_bankmachine0_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine0_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine0_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine0_state <= vns_bankmachine0_next_state;
- if (soc_sdram_bankmachine1_row_close) begin
- soc_sdram_bankmachine1_row_opened <= 1'd0;
+ bankmachine0_state <= bankmachine0_next_state;
+ if (litedramcore_bankmachine1_row_close) begin
+ litedramcore_bankmachine1_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine1_row_open) begin
- soc_sdram_bankmachine1_row_opened <= 1'd1;
- soc_sdram_bankmachine1_row <= soc_sdram_bankmachine1_cmd_buffer_source_payload_addr[21:7];
+ if (litedramcore_bankmachine1_row_open) begin
+ litedramcore_bankmachine1_row_opened <= 1'd1;
+ litedramcore_bankmachine1_row <= litedramcore_bankmachine1_cmd_buffer_source_payload_addr[21:7];
end
end
- if (((soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~soc_sdram_bankmachine1_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine1_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine1_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine1_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine1_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine1_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & soc_sdram_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~soc_sdram_bankmachine1_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine1_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine1_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_we & litedramcore_bankmachine1_cmd_buffer_lookahead_syncfifo1_writable) & (~litedramcore_bankmachine1_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine1_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine1_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine1_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine1_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine1_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine1_cmd_buffer_lookahead_level <= (litedramcore_bankmachine1_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine1_cmd_buffer_source_valid) | soc_sdram_bankmachine1_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine1_cmd_buffer_source_valid <= soc_sdram_bankmachine1_cmd_buffer_sink_valid;
- soc_sdram_bankmachine1_cmd_buffer_source_first <= soc_sdram_bankmachine1_cmd_buffer_sink_first;
- soc_sdram_bankmachine1_cmd_buffer_source_last <= soc_sdram_bankmachine1_cmd_buffer_sink_last;
- soc_sdram_bankmachine1_cmd_buffer_source_payload_we <= soc_sdram_bankmachine1_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine1_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine1_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine1_cmd_buffer_source_valid) | litedramcore_bankmachine1_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine1_cmd_buffer_source_valid <= litedramcore_bankmachine1_cmd_buffer_sink_valid;
+ litedramcore_bankmachine1_cmd_buffer_source_first <= litedramcore_bankmachine1_cmd_buffer_sink_first;
+ litedramcore_bankmachine1_cmd_buffer_source_last <= litedramcore_bankmachine1_cmd_buffer_sink_last;
+ litedramcore_bankmachine1_cmd_buffer_source_payload_we <= litedramcore_bankmachine1_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine1_cmd_buffer_source_payload_addr <= litedramcore_bankmachine1_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine1_twtpcon_valid) begin
- soc_sdram_bankmachine1_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine1_twtpcon_valid) begin
+ litedramcore_bankmachine1_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine1_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine1_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine1_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine1_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine1_twtpcon_ready)) begin
- soc_sdram_bankmachine1_twtpcon_count <= (soc_sdram_bankmachine1_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine1_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine1_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine1_twtpcon_ready)) begin
+ litedramcore_bankmachine1_twtpcon_count <= (litedramcore_bankmachine1_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine1_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine1_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine1_trccon_valid) begin
- soc_sdram_bankmachine1_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine1_trccon_valid) begin
+ litedramcore_bankmachine1_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine1_trccon_ready <= 1'd1;
+ litedramcore_bankmachine1_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine1_trccon_ready <= 1'd0;
+ litedramcore_bankmachine1_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine1_trccon_ready)) begin
- soc_sdram_bankmachine1_trccon_count <= (soc_sdram_bankmachine1_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine1_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine1_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine1_trccon_ready)) begin
+ litedramcore_bankmachine1_trccon_count <= (litedramcore_bankmachine1_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine1_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine1_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine1_trascon_valid) begin
- soc_sdram_bankmachine1_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine1_trascon_valid) begin
+ litedramcore_bankmachine1_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine1_trascon_ready <= 1'd1;
+ litedramcore_bankmachine1_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine1_trascon_ready <= 1'd0;
+ litedramcore_bankmachine1_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine1_trascon_ready)) begin
- soc_sdram_bankmachine1_trascon_count <= (soc_sdram_bankmachine1_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine1_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine1_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine1_trascon_ready)) begin
+ litedramcore_bankmachine1_trascon_count <= (litedramcore_bankmachine1_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine1_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine1_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine1_state <= vns_bankmachine1_next_state;
- if (soc_sdram_bankmachine2_row_close) begin
- soc_sdram_bankmachine2_row_opened <= 1'd0;
+ bankmachine1_state <= bankmachine1_next_state;
+ if (litedramcore_bankmachine2_row_close) begin
+ litedramcore_bankmachine2_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine2_row_open) begin
- soc_sdram_bankmachine2_row_opened <= 1'd1;
- soc_sdram_bankmachine2_row <= soc_sdram_bankmachine2_cmd_buffer_source_payload_addr[21:7];
+ if (litedramcore_bankmachine2_row_open) begin
+ litedramcore_bankmachine2_row_opened <= 1'd1;
+ litedramcore_bankmachine2_row <= litedramcore_bankmachine2_cmd_buffer_source_payload_addr[21:7];
end
end
- if (((soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~soc_sdram_bankmachine2_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine2_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine2_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine2_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine2_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine2_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & soc_sdram_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~soc_sdram_bankmachine2_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine2_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine2_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_we & litedramcore_bankmachine2_cmd_buffer_lookahead_syncfifo2_writable) & (~litedramcore_bankmachine2_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine2_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine2_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine2_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine2_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine2_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine2_cmd_buffer_lookahead_level <= (litedramcore_bankmachine2_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine2_cmd_buffer_source_valid) | soc_sdram_bankmachine2_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine2_cmd_buffer_source_valid <= soc_sdram_bankmachine2_cmd_buffer_sink_valid;
- soc_sdram_bankmachine2_cmd_buffer_source_first <= soc_sdram_bankmachine2_cmd_buffer_sink_first;
- soc_sdram_bankmachine2_cmd_buffer_source_last <= soc_sdram_bankmachine2_cmd_buffer_sink_last;
- soc_sdram_bankmachine2_cmd_buffer_source_payload_we <= soc_sdram_bankmachine2_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine2_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine2_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine2_cmd_buffer_source_valid) | litedramcore_bankmachine2_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine2_cmd_buffer_source_valid <= litedramcore_bankmachine2_cmd_buffer_sink_valid;
+ litedramcore_bankmachine2_cmd_buffer_source_first <= litedramcore_bankmachine2_cmd_buffer_sink_first;
+ litedramcore_bankmachine2_cmd_buffer_source_last <= litedramcore_bankmachine2_cmd_buffer_sink_last;
+ litedramcore_bankmachine2_cmd_buffer_source_payload_we <= litedramcore_bankmachine2_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine2_cmd_buffer_source_payload_addr <= litedramcore_bankmachine2_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine2_twtpcon_valid) begin
- soc_sdram_bankmachine2_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine2_twtpcon_valid) begin
+ litedramcore_bankmachine2_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine2_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine2_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine2_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine2_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine2_twtpcon_ready)) begin
- soc_sdram_bankmachine2_twtpcon_count <= (soc_sdram_bankmachine2_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine2_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine2_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine2_twtpcon_ready)) begin
+ litedramcore_bankmachine2_twtpcon_count <= (litedramcore_bankmachine2_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine2_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine2_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine2_trccon_valid) begin
- soc_sdram_bankmachine2_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine2_trccon_valid) begin
+ litedramcore_bankmachine2_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine2_trccon_ready <= 1'd1;
+ litedramcore_bankmachine2_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine2_trccon_ready <= 1'd0;
+ litedramcore_bankmachine2_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine2_trccon_ready)) begin
- soc_sdram_bankmachine2_trccon_count <= (soc_sdram_bankmachine2_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine2_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine2_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine2_trccon_ready)) begin
+ litedramcore_bankmachine2_trccon_count <= (litedramcore_bankmachine2_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine2_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine2_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine2_trascon_valid) begin
- soc_sdram_bankmachine2_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine2_trascon_valid) begin
+ litedramcore_bankmachine2_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine2_trascon_ready <= 1'd1;
+ litedramcore_bankmachine2_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine2_trascon_ready <= 1'd0;
+ litedramcore_bankmachine2_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine2_trascon_ready)) begin
- soc_sdram_bankmachine2_trascon_count <= (soc_sdram_bankmachine2_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine2_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine2_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine2_trascon_ready)) begin
+ litedramcore_bankmachine2_trascon_count <= (litedramcore_bankmachine2_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine2_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine2_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine2_state <= vns_bankmachine2_next_state;
- if (soc_sdram_bankmachine3_row_close) begin
- soc_sdram_bankmachine3_row_opened <= 1'd0;
+ bankmachine2_state <= bankmachine2_next_state;
+ if (litedramcore_bankmachine3_row_close) begin
+ litedramcore_bankmachine3_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine3_row_open) begin
- soc_sdram_bankmachine3_row_opened <= 1'd1;
- soc_sdram_bankmachine3_row <= soc_sdram_bankmachine3_cmd_buffer_source_payload_addr[21:7];
+ if (litedramcore_bankmachine3_row_open) begin
+ litedramcore_bankmachine3_row_opened <= 1'd1;
+ litedramcore_bankmachine3_row <= litedramcore_bankmachine3_cmd_buffer_source_payload_addr[21:7];
end
end
- if (((soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~soc_sdram_bankmachine3_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine3_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine3_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine3_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine3_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine3_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & soc_sdram_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~soc_sdram_bankmachine3_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine3_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine3_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_we & litedramcore_bankmachine3_cmd_buffer_lookahead_syncfifo3_writable) & (~litedramcore_bankmachine3_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine3_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine3_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine3_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine3_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine3_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine3_cmd_buffer_lookahead_level <= (litedramcore_bankmachine3_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine3_cmd_buffer_source_valid) | soc_sdram_bankmachine3_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine3_cmd_buffer_source_valid <= soc_sdram_bankmachine3_cmd_buffer_sink_valid;
- soc_sdram_bankmachine3_cmd_buffer_source_first <= soc_sdram_bankmachine3_cmd_buffer_sink_first;
- soc_sdram_bankmachine3_cmd_buffer_source_last <= soc_sdram_bankmachine3_cmd_buffer_sink_last;
- soc_sdram_bankmachine3_cmd_buffer_source_payload_we <= soc_sdram_bankmachine3_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine3_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine3_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine3_cmd_buffer_source_valid) | litedramcore_bankmachine3_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine3_cmd_buffer_source_valid <= litedramcore_bankmachine3_cmd_buffer_sink_valid;
+ litedramcore_bankmachine3_cmd_buffer_source_first <= litedramcore_bankmachine3_cmd_buffer_sink_first;
+ litedramcore_bankmachine3_cmd_buffer_source_last <= litedramcore_bankmachine3_cmd_buffer_sink_last;
+ litedramcore_bankmachine3_cmd_buffer_source_payload_we <= litedramcore_bankmachine3_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine3_cmd_buffer_source_payload_addr <= litedramcore_bankmachine3_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine3_twtpcon_valid) begin
- soc_sdram_bankmachine3_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine3_twtpcon_valid) begin
+ litedramcore_bankmachine3_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine3_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine3_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine3_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine3_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine3_twtpcon_ready)) begin
- soc_sdram_bankmachine3_twtpcon_count <= (soc_sdram_bankmachine3_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine3_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine3_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine3_twtpcon_ready)) begin
+ litedramcore_bankmachine3_twtpcon_count <= (litedramcore_bankmachine3_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine3_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine3_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine3_trccon_valid) begin
- soc_sdram_bankmachine3_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine3_trccon_valid) begin
+ litedramcore_bankmachine3_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine3_trccon_ready <= 1'd1;
+ litedramcore_bankmachine3_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine3_trccon_ready <= 1'd0;
+ litedramcore_bankmachine3_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine3_trccon_ready)) begin
- soc_sdram_bankmachine3_trccon_count <= (soc_sdram_bankmachine3_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine3_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine3_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine3_trccon_ready)) begin
+ litedramcore_bankmachine3_trccon_count <= (litedramcore_bankmachine3_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine3_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine3_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine3_trascon_valid) begin
- soc_sdram_bankmachine3_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine3_trascon_valid) begin
+ litedramcore_bankmachine3_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine3_trascon_ready <= 1'd1;
+ litedramcore_bankmachine3_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine3_trascon_ready <= 1'd0;
+ litedramcore_bankmachine3_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine3_trascon_ready)) begin
- soc_sdram_bankmachine3_trascon_count <= (soc_sdram_bankmachine3_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine3_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine3_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine3_trascon_ready)) begin
+ litedramcore_bankmachine3_trascon_count <= (litedramcore_bankmachine3_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine3_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine3_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine3_state <= vns_bankmachine3_next_state;
- if (soc_sdram_bankmachine4_row_close) begin
- soc_sdram_bankmachine4_row_opened <= 1'd0;
+ bankmachine3_state <= bankmachine3_next_state;
+ if (litedramcore_bankmachine4_row_close) begin
+ litedramcore_bankmachine4_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine4_row_open) begin
- soc_sdram_bankmachine4_row_opened <= 1'd1;
- soc_sdram_bankmachine4_row <= soc_sdram_bankmachine4_cmd_buffer_source_payload_addr[21:7];
+ if (litedramcore_bankmachine4_row_open) begin
+ litedramcore_bankmachine4_row_opened <= 1'd1;
+ litedramcore_bankmachine4_row <= litedramcore_bankmachine4_cmd_buffer_source_payload_addr[21:7];
end
end
- if (((soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~soc_sdram_bankmachine4_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine4_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine4_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine4_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine4_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine4_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & soc_sdram_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~soc_sdram_bankmachine4_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine4_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine4_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_we & litedramcore_bankmachine4_cmd_buffer_lookahead_syncfifo4_writable) & (~litedramcore_bankmachine4_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine4_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine4_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine4_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine4_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine4_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine4_cmd_buffer_lookahead_level <= (litedramcore_bankmachine4_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine4_cmd_buffer_source_valid) | soc_sdram_bankmachine4_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine4_cmd_buffer_source_valid <= soc_sdram_bankmachine4_cmd_buffer_sink_valid;
- soc_sdram_bankmachine4_cmd_buffer_source_first <= soc_sdram_bankmachine4_cmd_buffer_sink_first;
- soc_sdram_bankmachine4_cmd_buffer_source_last <= soc_sdram_bankmachine4_cmd_buffer_sink_last;
- soc_sdram_bankmachine4_cmd_buffer_source_payload_we <= soc_sdram_bankmachine4_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine4_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine4_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine4_cmd_buffer_source_valid) | litedramcore_bankmachine4_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine4_cmd_buffer_source_valid <= litedramcore_bankmachine4_cmd_buffer_sink_valid;
+ litedramcore_bankmachine4_cmd_buffer_source_first <= litedramcore_bankmachine4_cmd_buffer_sink_first;
+ litedramcore_bankmachine4_cmd_buffer_source_last <= litedramcore_bankmachine4_cmd_buffer_sink_last;
+ litedramcore_bankmachine4_cmd_buffer_source_payload_we <= litedramcore_bankmachine4_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine4_cmd_buffer_source_payload_addr <= litedramcore_bankmachine4_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine4_twtpcon_valid) begin
- soc_sdram_bankmachine4_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine4_twtpcon_valid) begin
+ litedramcore_bankmachine4_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine4_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine4_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine4_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine4_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine4_twtpcon_ready)) begin
- soc_sdram_bankmachine4_twtpcon_count <= (soc_sdram_bankmachine4_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine4_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine4_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine4_twtpcon_ready)) begin
+ litedramcore_bankmachine4_twtpcon_count <= (litedramcore_bankmachine4_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine4_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine4_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine4_trccon_valid) begin
- soc_sdram_bankmachine4_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine4_trccon_valid) begin
+ litedramcore_bankmachine4_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine4_trccon_ready <= 1'd1;
+ litedramcore_bankmachine4_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine4_trccon_ready <= 1'd0;
+ litedramcore_bankmachine4_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine4_trccon_ready)) begin
- soc_sdram_bankmachine4_trccon_count <= (soc_sdram_bankmachine4_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine4_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine4_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine4_trccon_ready)) begin
+ litedramcore_bankmachine4_trccon_count <= (litedramcore_bankmachine4_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine4_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine4_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine4_trascon_valid) begin
- soc_sdram_bankmachine4_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine4_trascon_valid) begin
+ litedramcore_bankmachine4_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine4_trascon_ready <= 1'd1;
+ litedramcore_bankmachine4_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine4_trascon_ready <= 1'd0;
+ litedramcore_bankmachine4_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine4_trascon_ready)) begin
- soc_sdram_bankmachine4_trascon_count <= (soc_sdram_bankmachine4_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine4_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine4_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine4_trascon_ready)) begin
+ litedramcore_bankmachine4_trascon_count <= (litedramcore_bankmachine4_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine4_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine4_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine4_state <= vns_bankmachine4_next_state;
- if (soc_sdram_bankmachine5_row_close) begin
- soc_sdram_bankmachine5_row_opened <= 1'd0;
+ bankmachine4_state <= bankmachine4_next_state;
+ if (litedramcore_bankmachine5_row_close) begin
+ litedramcore_bankmachine5_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine5_row_open) begin
- soc_sdram_bankmachine5_row_opened <= 1'd1;
- soc_sdram_bankmachine5_row <= soc_sdram_bankmachine5_cmd_buffer_source_payload_addr[21:7];
+ if (litedramcore_bankmachine5_row_open) begin
+ litedramcore_bankmachine5_row_opened <= 1'd1;
+ litedramcore_bankmachine5_row <= litedramcore_bankmachine5_cmd_buffer_source_payload_addr[21:7];
end
end
- if (((soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~soc_sdram_bankmachine5_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine5_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine5_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine5_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine5_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine5_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & soc_sdram_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~soc_sdram_bankmachine5_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine5_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine5_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_we & litedramcore_bankmachine5_cmd_buffer_lookahead_syncfifo5_writable) & (~litedramcore_bankmachine5_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine5_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine5_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine5_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine5_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine5_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine5_cmd_buffer_lookahead_level <= (litedramcore_bankmachine5_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine5_cmd_buffer_source_valid) | soc_sdram_bankmachine5_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine5_cmd_buffer_source_valid <= soc_sdram_bankmachine5_cmd_buffer_sink_valid;
- soc_sdram_bankmachine5_cmd_buffer_source_first <= soc_sdram_bankmachine5_cmd_buffer_sink_first;
- soc_sdram_bankmachine5_cmd_buffer_source_last <= soc_sdram_bankmachine5_cmd_buffer_sink_last;
- soc_sdram_bankmachine5_cmd_buffer_source_payload_we <= soc_sdram_bankmachine5_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine5_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine5_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine5_cmd_buffer_source_valid) | litedramcore_bankmachine5_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine5_cmd_buffer_source_valid <= litedramcore_bankmachine5_cmd_buffer_sink_valid;
+ litedramcore_bankmachine5_cmd_buffer_source_first <= litedramcore_bankmachine5_cmd_buffer_sink_first;
+ litedramcore_bankmachine5_cmd_buffer_source_last <= litedramcore_bankmachine5_cmd_buffer_sink_last;
+ litedramcore_bankmachine5_cmd_buffer_source_payload_we <= litedramcore_bankmachine5_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine5_cmd_buffer_source_payload_addr <= litedramcore_bankmachine5_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine5_twtpcon_valid) begin
- soc_sdram_bankmachine5_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine5_twtpcon_valid) begin
+ litedramcore_bankmachine5_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine5_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine5_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine5_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine5_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine5_twtpcon_ready)) begin
- soc_sdram_bankmachine5_twtpcon_count <= (soc_sdram_bankmachine5_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine5_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine5_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine5_twtpcon_ready)) begin
+ litedramcore_bankmachine5_twtpcon_count <= (litedramcore_bankmachine5_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine5_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine5_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine5_trccon_valid) begin
- soc_sdram_bankmachine5_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine5_trccon_valid) begin
+ litedramcore_bankmachine5_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine5_trccon_ready <= 1'd1;
+ litedramcore_bankmachine5_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine5_trccon_ready <= 1'd0;
+ litedramcore_bankmachine5_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine5_trccon_ready)) begin
- soc_sdram_bankmachine5_trccon_count <= (soc_sdram_bankmachine5_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine5_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine5_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine5_trccon_ready)) begin
+ litedramcore_bankmachine5_trccon_count <= (litedramcore_bankmachine5_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine5_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine5_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine5_trascon_valid) begin
- soc_sdram_bankmachine5_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine5_trascon_valid) begin
+ litedramcore_bankmachine5_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine5_trascon_ready <= 1'd1;
+ litedramcore_bankmachine5_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine5_trascon_ready <= 1'd0;
+ litedramcore_bankmachine5_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine5_trascon_ready)) begin
- soc_sdram_bankmachine5_trascon_count <= (soc_sdram_bankmachine5_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine5_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine5_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine5_trascon_ready)) begin
+ litedramcore_bankmachine5_trascon_count <= (litedramcore_bankmachine5_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine5_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine5_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine5_state <= vns_bankmachine5_next_state;
- if (soc_sdram_bankmachine6_row_close) begin
- soc_sdram_bankmachine6_row_opened <= 1'd0;
+ bankmachine5_state <= bankmachine5_next_state;
+ if (litedramcore_bankmachine6_row_close) begin
+ litedramcore_bankmachine6_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine6_row_open) begin
- soc_sdram_bankmachine6_row_opened <= 1'd1;
- soc_sdram_bankmachine6_row <= soc_sdram_bankmachine6_cmd_buffer_source_payload_addr[21:7];
+ if (litedramcore_bankmachine6_row_open) begin
+ litedramcore_bankmachine6_row_opened <= 1'd1;
+ litedramcore_bankmachine6_row <= litedramcore_bankmachine6_cmd_buffer_source_payload_addr[21:7];
end
end
- if (((soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~soc_sdram_bankmachine6_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine6_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine6_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine6_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine6_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine6_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & soc_sdram_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~soc_sdram_bankmachine6_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine6_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine6_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_we & litedramcore_bankmachine6_cmd_buffer_lookahead_syncfifo6_writable) & (~litedramcore_bankmachine6_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine6_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine6_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine6_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine6_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine6_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine6_cmd_buffer_lookahead_level <= (litedramcore_bankmachine6_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine6_cmd_buffer_source_valid) | soc_sdram_bankmachine6_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine6_cmd_buffer_source_valid <= soc_sdram_bankmachine6_cmd_buffer_sink_valid;
- soc_sdram_bankmachine6_cmd_buffer_source_first <= soc_sdram_bankmachine6_cmd_buffer_sink_first;
- soc_sdram_bankmachine6_cmd_buffer_source_last <= soc_sdram_bankmachine6_cmd_buffer_sink_last;
- soc_sdram_bankmachine6_cmd_buffer_source_payload_we <= soc_sdram_bankmachine6_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine6_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine6_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine6_cmd_buffer_source_valid) | litedramcore_bankmachine6_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine6_cmd_buffer_source_valid <= litedramcore_bankmachine6_cmd_buffer_sink_valid;
+ litedramcore_bankmachine6_cmd_buffer_source_first <= litedramcore_bankmachine6_cmd_buffer_sink_first;
+ litedramcore_bankmachine6_cmd_buffer_source_last <= litedramcore_bankmachine6_cmd_buffer_sink_last;
+ litedramcore_bankmachine6_cmd_buffer_source_payload_we <= litedramcore_bankmachine6_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine6_cmd_buffer_source_payload_addr <= litedramcore_bankmachine6_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine6_twtpcon_valid) begin
- soc_sdram_bankmachine6_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine6_twtpcon_valid) begin
+ litedramcore_bankmachine6_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine6_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine6_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine6_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine6_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine6_twtpcon_ready)) begin
- soc_sdram_bankmachine6_twtpcon_count <= (soc_sdram_bankmachine6_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine6_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine6_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine6_twtpcon_ready)) begin
+ litedramcore_bankmachine6_twtpcon_count <= (litedramcore_bankmachine6_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine6_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine6_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine6_trccon_valid) begin
- soc_sdram_bankmachine6_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine6_trccon_valid) begin
+ litedramcore_bankmachine6_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine6_trccon_ready <= 1'd1;
+ litedramcore_bankmachine6_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine6_trccon_ready <= 1'd0;
+ litedramcore_bankmachine6_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine6_trccon_ready)) begin
- soc_sdram_bankmachine6_trccon_count <= (soc_sdram_bankmachine6_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine6_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine6_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine6_trccon_ready)) begin
+ litedramcore_bankmachine6_trccon_count <= (litedramcore_bankmachine6_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine6_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine6_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine6_trascon_valid) begin
- soc_sdram_bankmachine6_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine6_trascon_valid) begin
+ litedramcore_bankmachine6_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine6_trascon_ready <= 1'd1;
+ litedramcore_bankmachine6_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine6_trascon_ready <= 1'd0;
+ litedramcore_bankmachine6_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine6_trascon_ready)) begin
- soc_sdram_bankmachine6_trascon_count <= (soc_sdram_bankmachine6_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine6_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine6_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine6_trascon_ready)) begin
+ litedramcore_bankmachine6_trascon_count <= (litedramcore_bankmachine6_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine6_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine6_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine6_state <= vns_bankmachine6_next_state;
- if (soc_sdram_bankmachine7_row_close) begin
- soc_sdram_bankmachine7_row_opened <= 1'd0;
+ bankmachine6_state <= bankmachine6_next_state;
+ if (litedramcore_bankmachine7_row_close) begin
+ litedramcore_bankmachine7_row_opened <= 1'd0;
end else begin
- if (soc_sdram_bankmachine7_row_open) begin
- soc_sdram_bankmachine7_row_opened <= 1'd1;
- soc_sdram_bankmachine7_row <= soc_sdram_bankmachine7_cmd_buffer_source_payload_addr[21:7];
+ if (litedramcore_bankmachine7_row_open) begin
+ litedramcore_bankmachine7_row_opened <= 1'd1;
+ litedramcore_bankmachine7_row <= litedramcore_bankmachine7_cmd_buffer_source_payload_addr[21:7];
end
end
- if (((soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~soc_sdram_bankmachine7_cmd_buffer_lookahead_replace))) begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_produce <= (soc_sdram_bankmachine7_cmd_buffer_lookahead_produce + 1'd1);
+ if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin
+ litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= (litedramcore_bankmachine7_cmd_buffer_lookahead_produce + 1'd1);
end
- if (soc_sdram_bankmachine7_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_consume <= (soc_sdram_bankmachine7_cmd_buffer_lookahead_consume + 1'd1);
+ if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= (litedramcore_bankmachine7_cmd_buffer_lookahead_consume + 1'd1);
end
- if (((soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & soc_sdram_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~soc_sdram_bankmachine7_cmd_buffer_lookahead_replace))) begin
- if ((~soc_sdram_bankmachine7_cmd_buffer_lookahead_do_read)) begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine7_cmd_buffer_lookahead_level + 1'd1);
+ if (((litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_we & litedramcore_bankmachine7_cmd_buffer_lookahead_syncfifo7_writable) & (~litedramcore_bankmachine7_cmd_buffer_lookahead_replace))) begin
+ if ((~litedramcore_bankmachine7_cmd_buffer_lookahead_do_read)) begin
+ litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level + 1'd1);
end
end else begin
- if (soc_sdram_bankmachine7_cmd_buffer_lookahead_do_read) begin
- soc_sdram_bankmachine7_cmd_buffer_lookahead_level <= (soc_sdram_bankmachine7_cmd_buffer_lookahead_level - 1'd1);
+ if (litedramcore_bankmachine7_cmd_buffer_lookahead_do_read) begin
+ litedramcore_bankmachine7_cmd_buffer_lookahead_level <= (litedramcore_bankmachine7_cmd_buffer_lookahead_level - 1'd1);
end
end
- if (((~soc_sdram_bankmachine7_cmd_buffer_source_valid) | soc_sdram_bankmachine7_cmd_buffer_source_ready)) begin
- soc_sdram_bankmachine7_cmd_buffer_source_valid <= soc_sdram_bankmachine7_cmd_buffer_sink_valid;
- soc_sdram_bankmachine7_cmd_buffer_source_first <= soc_sdram_bankmachine7_cmd_buffer_sink_first;
- soc_sdram_bankmachine7_cmd_buffer_source_last <= soc_sdram_bankmachine7_cmd_buffer_sink_last;
- soc_sdram_bankmachine7_cmd_buffer_source_payload_we <= soc_sdram_bankmachine7_cmd_buffer_sink_payload_we;
- soc_sdram_bankmachine7_cmd_buffer_source_payload_addr <= soc_sdram_bankmachine7_cmd_buffer_sink_payload_addr;
+ if (((~litedramcore_bankmachine7_cmd_buffer_source_valid) | litedramcore_bankmachine7_cmd_buffer_source_ready)) begin
+ litedramcore_bankmachine7_cmd_buffer_source_valid <= litedramcore_bankmachine7_cmd_buffer_sink_valid;
+ litedramcore_bankmachine7_cmd_buffer_source_first <= litedramcore_bankmachine7_cmd_buffer_sink_first;
+ litedramcore_bankmachine7_cmd_buffer_source_last <= litedramcore_bankmachine7_cmd_buffer_sink_last;
+ litedramcore_bankmachine7_cmd_buffer_source_payload_we <= litedramcore_bankmachine7_cmd_buffer_sink_payload_we;
+ litedramcore_bankmachine7_cmd_buffer_source_payload_addr <= litedramcore_bankmachine7_cmd_buffer_sink_payload_addr;
end
- if (soc_sdram_bankmachine7_twtpcon_valid) begin
- soc_sdram_bankmachine7_twtpcon_count <= 3'd5;
+ if (litedramcore_bankmachine7_twtpcon_valid) begin
+ litedramcore_bankmachine7_twtpcon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine7_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine7_twtpcon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine7_twtpcon_ready <= 1'd0;
+ litedramcore_bankmachine7_twtpcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine7_twtpcon_ready)) begin
- soc_sdram_bankmachine7_twtpcon_count <= (soc_sdram_bankmachine7_twtpcon_count - 1'd1);
- if ((soc_sdram_bankmachine7_twtpcon_count == 1'd1)) begin
- soc_sdram_bankmachine7_twtpcon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine7_twtpcon_ready)) begin
+ litedramcore_bankmachine7_twtpcon_count <= (litedramcore_bankmachine7_twtpcon_count - 1'd1);
+ if ((litedramcore_bankmachine7_twtpcon_count == 1'd1)) begin
+ litedramcore_bankmachine7_twtpcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine7_trccon_valid) begin
- soc_sdram_bankmachine7_trccon_count <= 3'd5;
+ if (litedramcore_bankmachine7_trccon_valid) begin
+ litedramcore_bankmachine7_trccon_count <= 3'd5;
if (1'd0) begin
- soc_sdram_bankmachine7_trccon_ready <= 1'd1;
+ litedramcore_bankmachine7_trccon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine7_trccon_ready <= 1'd0;
+ litedramcore_bankmachine7_trccon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine7_trccon_ready)) begin
- soc_sdram_bankmachine7_trccon_count <= (soc_sdram_bankmachine7_trccon_count - 1'd1);
- if ((soc_sdram_bankmachine7_trccon_count == 1'd1)) begin
- soc_sdram_bankmachine7_trccon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine7_trccon_ready)) begin
+ litedramcore_bankmachine7_trccon_count <= (litedramcore_bankmachine7_trccon_count - 1'd1);
+ if ((litedramcore_bankmachine7_trccon_count == 1'd1)) begin
+ litedramcore_bankmachine7_trccon_ready <= 1'd1;
end
end
end
- if (soc_sdram_bankmachine7_trascon_valid) begin
- soc_sdram_bankmachine7_trascon_count <= 3'd4;
+ if (litedramcore_bankmachine7_trascon_valid) begin
+ litedramcore_bankmachine7_trascon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_bankmachine7_trascon_ready <= 1'd1;
+ litedramcore_bankmachine7_trascon_ready <= 1'd1;
end else begin
- soc_sdram_bankmachine7_trascon_ready <= 1'd0;
+ litedramcore_bankmachine7_trascon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_bankmachine7_trascon_ready)) begin
- soc_sdram_bankmachine7_trascon_count <= (soc_sdram_bankmachine7_trascon_count - 1'd1);
- if ((soc_sdram_bankmachine7_trascon_count == 1'd1)) begin
- soc_sdram_bankmachine7_trascon_ready <= 1'd1;
+ if ((~litedramcore_bankmachine7_trascon_ready)) begin
+ litedramcore_bankmachine7_trascon_count <= (litedramcore_bankmachine7_trascon_count - 1'd1);
+ if ((litedramcore_bankmachine7_trascon_count == 1'd1)) begin
+ litedramcore_bankmachine7_trascon_ready <= 1'd1;
end
end
end
- vns_bankmachine7_state <= vns_bankmachine7_next_state;
- if ((~soc_sdram_en0)) begin
- soc_sdram_time0 <= 5'd31;
+ bankmachine7_state <= bankmachine7_next_state;
+ if ((~litedramcore_en0)) begin
+ litedramcore_time0 <= 5'd31;
end else begin
- if ((~soc_sdram_max_time0)) begin
- soc_sdram_time0 <= (soc_sdram_time0 - 1'd1);
+ if ((~litedramcore_max_time0)) begin
+ litedramcore_time0 <= (litedramcore_time0 - 1'd1);
end
end
- if ((~soc_sdram_en1)) begin
- soc_sdram_time1 <= 4'd15;
+ if ((~litedramcore_en1)) begin
+ litedramcore_time1 <= 4'd15;
end else begin
- if ((~soc_sdram_max_time1)) begin
- soc_sdram_time1 <= (soc_sdram_time1 - 1'd1);
+ if ((~litedramcore_max_time1)) begin
+ litedramcore_time1 <= (litedramcore_time1 - 1'd1);
end
end
- if (soc_sdram_choose_cmd_ce) begin
- case (soc_sdram_choose_cmd_grant)
+ if (litedramcore_choose_cmd_ce) begin
+ case (litedramcore_choose_cmd_grant)
1'd0: begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end
end
end
end
end
1'd1: begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end
end
end
end
end
2'd2: begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end
end
end
end
end
2'd3: begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end
end
end
end
end
3'd4: begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end
end
end
end
end
3'd5: begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end
end
end
end
end
3'd6: begin
- if (soc_sdram_choose_cmd_request[7]) begin
- soc_sdram_choose_cmd_grant <= 3'd7;
+ if (litedramcore_choose_cmd_request[7]) begin
+ litedramcore_choose_cmd_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end
end
end
end
end
3'd7: begin
- if (soc_sdram_choose_cmd_request[0]) begin
- soc_sdram_choose_cmd_grant <= 1'd0;
+ if (litedramcore_choose_cmd_request[0]) begin
+ litedramcore_choose_cmd_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_cmd_request[1]) begin
- soc_sdram_choose_cmd_grant <= 1'd1;
+ if (litedramcore_choose_cmd_request[1]) begin
+ litedramcore_choose_cmd_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_cmd_request[2]) begin
- soc_sdram_choose_cmd_grant <= 2'd2;
+ if (litedramcore_choose_cmd_request[2]) begin
+ litedramcore_choose_cmd_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_cmd_request[3]) begin
- soc_sdram_choose_cmd_grant <= 2'd3;
+ if (litedramcore_choose_cmd_request[3]) begin
+ litedramcore_choose_cmd_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_cmd_request[4]) begin
- soc_sdram_choose_cmd_grant <= 3'd4;
+ if (litedramcore_choose_cmd_request[4]) begin
+ litedramcore_choose_cmd_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_cmd_request[5]) begin
- soc_sdram_choose_cmd_grant <= 3'd5;
+ if (litedramcore_choose_cmd_request[5]) begin
+ litedramcore_choose_cmd_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_cmd_request[6]) begin
- soc_sdram_choose_cmd_grant <= 3'd6;
+ if (litedramcore_choose_cmd_request[6]) begin
+ litedramcore_choose_cmd_grant <= 3'd6;
end
end
end
end
endcase
end
- if (soc_sdram_choose_req_ce) begin
- case (soc_sdram_choose_req_grant)
+ if (litedramcore_choose_req_ce) begin
+ case (litedramcore_choose_req_grant)
1'd0: begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end
end
end
end
end
1'd1: begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end
end
end
end
end
2'd2: begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end
end
end
end
end
2'd3: begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end
end
end
end
end
3'd4: begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end
end
end
end
end
3'd5: begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end else begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end
end
end
end
end
3'd6: begin
- if (soc_sdram_choose_req_request[7]) begin
- soc_sdram_choose_req_grant <= 3'd7;
+ if (litedramcore_choose_req_request[7]) begin
+ litedramcore_choose_req_grant <= 3'd7;
end else begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end
end
end
end
end
3'd7: begin
- if (soc_sdram_choose_req_request[0]) begin
- soc_sdram_choose_req_grant <= 1'd0;
+ if (litedramcore_choose_req_request[0]) begin
+ litedramcore_choose_req_grant <= 1'd0;
end else begin
- if (soc_sdram_choose_req_request[1]) begin
- soc_sdram_choose_req_grant <= 1'd1;
+ if (litedramcore_choose_req_request[1]) begin
+ litedramcore_choose_req_grant <= 1'd1;
end else begin
- if (soc_sdram_choose_req_request[2]) begin
- soc_sdram_choose_req_grant <= 2'd2;
+ if (litedramcore_choose_req_request[2]) begin
+ litedramcore_choose_req_grant <= 2'd2;
end else begin
- if (soc_sdram_choose_req_request[3]) begin
- soc_sdram_choose_req_grant <= 2'd3;
+ if (litedramcore_choose_req_request[3]) begin
+ litedramcore_choose_req_grant <= 2'd3;
end else begin
- if (soc_sdram_choose_req_request[4]) begin
- soc_sdram_choose_req_grant <= 3'd4;
+ if (litedramcore_choose_req_request[4]) begin
+ litedramcore_choose_req_grant <= 3'd4;
end else begin
- if (soc_sdram_choose_req_request[5]) begin
- soc_sdram_choose_req_grant <= 3'd5;
+ if (litedramcore_choose_req_request[5]) begin
+ litedramcore_choose_req_grant <= 3'd5;
end else begin
- if (soc_sdram_choose_req_request[6]) begin
- soc_sdram_choose_req_grant <= 3'd6;
+ if (litedramcore_choose_req_request[6]) begin
+ litedramcore_choose_req_grant <= 3'd6;
end
end
end
end
endcase
end
- soc_sdram_dfi_p0_cs_n <= 1'd0;
- soc_sdram_dfi_p0_bank <= vns_array_muxed0;
- soc_sdram_dfi_p0_address <= vns_array_muxed1;
- soc_sdram_dfi_p0_cas_n <= (~vns_array_muxed2);
- soc_sdram_dfi_p0_ras_n <= (~vns_array_muxed3);
- soc_sdram_dfi_p0_we_n <= (~vns_array_muxed4);
- soc_sdram_dfi_p0_rddata_en <= vns_array_muxed5;
- soc_sdram_dfi_p0_wrdata_en <= vns_array_muxed6;
- soc_sdram_dfi_p1_cs_n <= 1'd0;
- soc_sdram_dfi_p1_bank <= vns_array_muxed7;
- soc_sdram_dfi_p1_address <= vns_array_muxed8;
- soc_sdram_dfi_p1_cas_n <= (~vns_array_muxed9);
- soc_sdram_dfi_p1_ras_n <= (~vns_array_muxed10);
- soc_sdram_dfi_p1_we_n <= (~vns_array_muxed11);
- soc_sdram_dfi_p1_rddata_en <= vns_array_muxed12;
- soc_sdram_dfi_p1_wrdata_en <= vns_array_muxed13;
- soc_sdram_dfi_p2_cs_n <= 1'd0;
- soc_sdram_dfi_p2_bank <= vns_array_muxed14;
- soc_sdram_dfi_p2_address <= vns_array_muxed15;
- soc_sdram_dfi_p2_cas_n <= (~vns_array_muxed16);
- soc_sdram_dfi_p2_ras_n <= (~vns_array_muxed17);
- soc_sdram_dfi_p2_we_n <= (~vns_array_muxed18);
- soc_sdram_dfi_p2_rddata_en <= vns_array_muxed19;
- soc_sdram_dfi_p2_wrdata_en <= vns_array_muxed20;
- soc_sdram_dfi_p3_cs_n <= 1'd0;
- soc_sdram_dfi_p3_bank <= vns_array_muxed21;
- soc_sdram_dfi_p3_address <= vns_array_muxed22;
- soc_sdram_dfi_p3_cas_n <= (~vns_array_muxed23);
- soc_sdram_dfi_p3_ras_n <= (~vns_array_muxed24);
- soc_sdram_dfi_p3_we_n <= (~vns_array_muxed25);
- soc_sdram_dfi_p3_rddata_en <= vns_array_muxed26;
- soc_sdram_dfi_p3_wrdata_en <= vns_array_muxed27;
- if (soc_sdram_trrdcon_valid) begin
- soc_sdram_trrdcon_count <= 1'd1;
+ litedramcore_dfi_p0_cs_n <= 1'd0;
+ litedramcore_dfi_p0_bank <= array_muxed0;
+ litedramcore_dfi_p0_address <= array_muxed1;
+ litedramcore_dfi_p0_cas_n <= (~array_muxed2);
+ litedramcore_dfi_p0_ras_n <= (~array_muxed3);
+ litedramcore_dfi_p0_we_n <= (~array_muxed4);
+ litedramcore_dfi_p0_rddata_en <= array_muxed5;
+ litedramcore_dfi_p0_wrdata_en <= array_muxed6;
+ litedramcore_dfi_p1_cs_n <= 1'd0;
+ litedramcore_dfi_p1_bank <= array_muxed7;
+ litedramcore_dfi_p1_address <= array_muxed8;
+ litedramcore_dfi_p1_cas_n <= (~array_muxed9);
+ litedramcore_dfi_p1_ras_n <= (~array_muxed10);
+ litedramcore_dfi_p1_we_n <= (~array_muxed11);
+ litedramcore_dfi_p1_rddata_en <= array_muxed12;
+ litedramcore_dfi_p1_wrdata_en <= array_muxed13;
+ litedramcore_dfi_p2_cs_n <= 1'd0;
+ litedramcore_dfi_p2_bank <= array_muxed14;
+ litedramcore_dfi_p2_address <= array_muxed15;
+ litedramcore_dfi_p2_cas_n <= (~array_muxed16);
+ litedramcore_dfi_p2_ras_n <= (~array_muxed17);
+ litedramcore_dfi_p2_we_n <= (~array_muxed18);
+ litedramcore_dfi_p2_rddata_en <= array_muxed19;
+ litedramcore_dfi_p2_wrdata_en <= array_muxed20;
+ litedramcore_dfi_p3_cs_n <= 1'd0;
+ litedramcore_dfi_p3_bank <= array_muxed21;
+ litedramcore_dfi_p3_address <= array_muxed22;
+ litedramcore_dfi_p3_cas_n <= (~array_muxed23);
+ litedramcore_dfi_p3_ras_n <= (~array_muxed24);
+ litedramcore_dfi_p3_we_n <= (~array_muxed25);
+ litedramcore_dfi_p3_rddata_en <= array_muxed26;
+ litedramcore_dfi_p3_wrdata_en <= array_muxed27;
+ if (litedramcore_trrdcon_valid) begin
+ litedramcore_trrdcon_count <= 1'd1;
if (1'd0) begin
- soc_sdram_trrdcon_ready <= 1'd1;
+ litedramcore_trrdcon_ready <= 1'd1;
end else begin
- soc_sdram_trrdcon_ready <= 1'd0;
+ litedramcore_trrdcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_trrdcon_ready)) begin
- soc_sdram_trrdcon_count <= (soc_sdram_trrdcon_count - 1'd1);
- if ((soc_sdram_trrdcon_count == 1'd1)) begin
- soc_sdram_trrdcon_ready <= 1'd1;
+ if ((~litedramcore_trrdcon_ready)) begin
+ litedramcore_trrdcon_count <= (litedramcore_trrdcon_count - 1'd1);
+ if ((litedramcore_trrdcon_count == 1'd1)) begin
+ litedramcore_trrdcon_ready <= 1'd1;
end
end
end
- soc_sdram_tfawcon_window <= {soc_sdram_tfawcon_window, soc_sdram_tfawcon_valid};
- if ((soc_sdram_tfawcon_count < 3'd4)) begin
- if ((soc_sdram_tfawcon_count == 2'd3)) begin
- soc_sdram_tfawcon_ready <= (~soc_sdram_tfawcon_valid);
+ litedramcore_tfawcon_window <= {litedramcore_tfawcon_window, litedramcore_tfawcon_valid};
+ if ((litedramcore_tfawcon_count < 3'd4)) begin
+ if ((litedramcore_tfawcon_count == 2'd3)) begin
+ litedramcore_tfawcon_ready <= (~litedramcore_tfawcon_valid);
end else begin
- soc_sdram_tfawcon_ready <= 1'd1;
+ litedramcore_tfawcon_ready <= 1'd1;
end
end
- if (soc_sdram_tccdcon_valid) begin
- soc_sdram_tccdcon_count <= 1'd0;
+ if (litedramcore_tccdcon_valid) begin
+ litedramcore_tccdcon_count <= 1'd0;
if (1'd1) begin
- soc_sdram_tccdcon_ready <= 1'd1;
+ litedramcore_tccdcon_ready <= 1'd1;
end else begin
- soc_sdram_tccdcon_ready <= 1'd0;
+ litedramcore_tccdcon_ready <= 1'd0;
end
end else begin
- if ((~soc_sdram_tccdcon_ready)) begin
- soc_sdram_tccdcon_count <= (soc_sdram_tccdcon_count - 1'd1);
- if ((soc_sdram_tccdcon_count == 1'd1)) begin
- soc_sdram_tccdcon_ready <= 1'd1;
+ if ((~litedramcore_tccdcon_ready)) begin
+ litedramcore_tccdcon_count <= (litedramcore_tccdcon_count - 1'd1);
+ if ((litedramcore_tccdcon_count == 1'd1)) begin
+ litedramcore_tccdcon_ready <= 1'd1;
end
end
end
- if (soc_sdram_twtrcon_valid) begin
- soc_sdram_twtrcon_count <= 3'd4;
+ if (litedramcore_twtrcon_valid) begin
+ litedramcore_twtrcon_count <= 3'd4;
if (1'd0) begin
- soc_sdram_twtrcon_ready <= 1'd1;
+ litedramcore_twtrcon_ready <= 1'd1;
end else begin
- soc_sdram_twtrcon_ready <= 1'd0;
- end
- end else begin
- if ((~soc_sdram_twtrcon_ready)) begin
- soc_sdram_twtrcon_count <= (soc_sdram_twtrcon_count - 1'd1);
- if ((soc_sdram_twtrcon_count == 1'd1)) begin
- soc_sdram_twtrcon_ready <= 1'd1;
- end
- end
- end
- vns_multiplexer_state <= vns_multiplexer_next_state;
- vns_new_master_wdata_ready0 <= ((((((((1'd0 | ((vns_roundrobin0_grant == 1'd0) & soc_sdram_interface_bank0_wdata_ready)) | ((vns_roundrobin1_grant == 1'd0) & soc_sdram_interface_bank1_wdata_ready)) | ((vns_roundrobin2_grant == 1'd0) & soc_sdram_interface_bank2_wdata_ready)) | ((vns_roundrobin3_grant == 1'd0) & soc_sdram_interface_bank3_wdata_ready)) | ((vns_roundrobin4_grant == 1'd0) & soc_sdram_interface_bank4_wdata_ready)) | ((vns_roundrobin5_grant == 1'd0) & soc_sdram_interface_bank5_wdata_ready)) | ((vns_roundrobin6_grant == 1'd0) & soc_sdram_interface_bank6_wdata_ready)) | ((vns_roundrobin7_grant == 1'd0) & soc_sdram_interface_bank7_wdata_ready));
- vns_new_master_wdata_ready1 <= vns_new_master_wdata_ready0;
- vns_new_master_wdata_ready2 <= vns_new_master_wdata_ready1;
- vns_new_master_wdata_ready3 <= ((((((((1'd0 | ((vns_roundrobin0_grant == 1'd1) & soc_sdram_interface_bank0_wdata_ready)) | ((vns_roundrobin1_grant == 1'd1) & soc_sdram_interface_bank1_wdata_ready)) | ((vns_roundrobin2_grant == 1'd1) & soc_sdram_interface_bank2_wdata_ready)) | ((vns_roundrobin3_grant == 1'd1) & soc_sdram_interface_bank3_wdata_ready)) | ((vns_roundrobin4_grant == 1'd1) & soc_sdram_interface_bank4_wdata_ready)) | ((vns_roundrobin5_grant == 1'd1) & soc_sdram_interface_bank5_wdata_ready)) | ((vns_roundrobin6_grant == 1'd1) & soc_sdram_interface_bank6_wdata_ready)) | ((vns_roundrobin7_grant == 1'd1) & soc_sdram_interface_bank7_wdata_ready));
- vns_new_master_wdata_ready4 <= vns_new_master_wdata_ready3;
- vns_new_master_wdata_ready5 <= vns_new_master_wdata_ready4;
- vns_new_master_rdata_valid0 <= ((((((((1'd0 | ((vns_roundrobin0_grant == 1'd0) & soc_sdram_interface_bank0_rdata_valid)) | ((vns_roundrobin1_grant == 1'd0) & soc_sdram_interface_bank1_rdata_valid)) | ((vns_roundrobin2_grant == 1'd0) & soc_sdram_interface_bank2_rdata_valid)) | ((vns_roundrobin3_grant == 1'd0) & soc_sdram_interface_bank3_rdata_valid)) | ((vns_roundrobin4_grant == 1'd0) & soc_sdram_interface_bank4_rdata_valid)) | ((vns_roundrobin5_grant == 1'd0) & soc_sdram_interface_bank5_rdata_valid)) | ((vns_roundrobin6_grant == 1'd0) & soc_sdram_interface_bank6_rdata_valid)) | ((vns_roundrobin7_grant == 1'd0) & soc_sdram_interface_bank7_rdata_valid));
- vns_new_master_rdata_valid1 <= vns_new_master_rdata_valid0;
- vns_new_master_rdata_valid2 <= vns_new_master_rdata_valid1;
- vns_new_master_rdata_valid3 <= vns_new_master_rdata_valid2;
- vns_new_master_rdata_valid4 <= vns_new_master_rdata_valid3;
- vns_new_master_rdata_valid5 <= vns_new_master_rdata_valid4;
- vns_new_master_rdata_valid6 <= vns_new_master_rdata_valid5;
- vns_new_master_rdata_valid7 <= vns_new_master_rdata_valid6;
- vns_new_master_rdata_valid8 <= vns_new_master_rdata_valid7;
- vns_new_master_rdata_valid9 <= ((((((((1'd0 | ((vns_roundrobin0_grant == 1'd1) & soc_sdram_interface_bank0_rdata_valid)) | ((vns_roundrobin1_grant == 1'd1) & soc_sdram_interface_bank1_rdata_valid)) | ((vns_roundrobin2_grant == 1'd1) & soc_sdram_interface_bank2_rdata_valid)) | ((vns_roundrobin3_grant == 1'd1) & soc_sdram_interface_bank3_rdata_valid)) | ((vns_roundrobin4_grant == 1'd1) & soc_sdram_interface_bank4_rdata_valid)) | ((vns_roundrobin5_grant == 1'd1) & soc_sdram_interface_bank5_rdata_valid)) | ((vns_roundrobin6_grant == 1'd1) & soc_sdram_interface_bank6_rdata_valid)) | ((vns_roundrobin7_grant == 1'd1) & soc_sdram_interface_bank7_rdata_valid));
- vns_new_master_rdata_valid10 <= vns_new_master_rdata_valid9;
- vns_new_master_rdata_valid11 <= vns_new_master_rdata_valid10;
- vns_new_master_rdata_valid12 <= vns_new_master_rdata_valid11;
- vns_new_master_rdata_valid13 <= vns_new_master_rdata_valid12;
- vns_new_master_rdata_valid14 <= vns_new_master_rdata_valid13;
- vns_new_master_rdata_valid15 <= vns_new_master_rdata_valid14;
- vns_new_master_rdata_valid16 <= vns_new_master_rdata_valid15;
- vns_new_master_rdata_valid17 <= vns_new_master_rdata_valid16;
- if (vns_roundrobin0_ce) begin
- case (vns_roundrobin0_grant)
- 1'd0: begin
- if (vns_roundrobin0_request[1]) begin
- vns_roundrobin0_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin0_request[0]) begin
- vns_roundrobin0_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin1_ce) begin
- case (vns_roundrobin1_grant)
- 1'd0: begin
- if (vns_roundrobin1_request[1]) begin
- vns_roundrobin1_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin1_request[0]) begin
- vns_roundrobin1_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin2_ce) begin
- case (vns_roundrobin2_grant)
- 1'd0: begin
- if (vns_roundrobin2_request[1]) begin
- vns_roundrobin2_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin2_request[0]) begin
- vns_roundrobin2_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin3_ce) begin
- case (vns_roundrobin3_grant)
- 1'd0: begin
- if (vns_roundrobin3_request[1]) begin
- vns_roundrobin3_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin3_request[0]) begin
- vns_roundrobin3_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin4_ce) begin
- case (vns_roundrobin4_grant)
- 1'd0: begin
- if (vns_roundrobin4_request[1]) begin
- vns_roundrobin4_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin4_request[0]) begin
- vns_roundrobin4_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin5_ce) begin
- case (vns_roundrobin5_grant)
- 1'd0: begin
- if (vns_roundrobin5_request[1]) begin
- vns_roundrobin5_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin5_request[0]) begin
- vns_roundrobin5_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin6_ce) begin
- case (vns_roundrobin6_grant)
- 1'd0: begin
- if (vns_roundrobin6_request[1]) begin
- vns_roundrobin6_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin6_request[0]) begin
- vns_roundrobin6_grant <= 1'd0;
- end
- end
- endcase
- end
- if (vns_roundrobin7_ce) begin
- case (vns_roundrobin7_grant)
- 1'd0: begin
- if (vns_roundrobin7_request[1]) begin
- vns_roundrobin7_grant <= 1'd1;
- end
- end
- 1'd1: begin
- if (vns_roundrobin7_request[0]) begin
- vns_roundrobin7_grant <= 1'd0;
- end
- end
- endcase
- end
- if (soc_counter_reset) begin
- soc_counter <= 1'd0;
- end else begin
- if (soc_counter_ce) begin
- soc_counter <= (soc_counter + 1'd1);
- end
- end
- if (soc_address_ce) begin
- soc_address_q <= soc_address_d;
- end
- if (soc_address_reset) begin
- soc_address_q <= 30'd0;
- end
- if (soc_need_refill_ce) begin
- soc_need_refill_q <= soc_need_refill_d;
- end
- if (soc_need_refill_reset) begin
- soc_need_refill_q <= 1'd1;
- end
- vns_converter_state <= vns_converter_next_state;
- if (soc_cached_datas_ce0) begin
- soc_cached_datas_flipflop0_q <= soc_cached_datas_flipflop0_d;
- end
- if (soc_cached_datas_reset0) begin
- soc_cached_datas_flipflop0_q <= 32'd0;
- end
- if (soc_cached_datas_ce1) begin
- soc_cached_datas_flipflop1_q <= soc_cached_datas_flipflop1_d;
- end
- if (soc_cached_datas_reset1) begin
- soc_cached_datas_flipflop1_q <= 32'd0;
- end
- if (soc_cached_datas_ce2) begin
- soc_cached_datas_flipflop2_q <= soc_cached_datas_flipflop2_d;
- end
- if (soc_cached_datas_reset2) begin
- soc_cached_datas_flipflop2_q <= 32'd0;
- end
- if (soc_cached_datas_ce3) begin
- soc_cached_datas_flipflop3_q <= soc_cached_datas_flipflop3_d;
- end
- if (soc_cached_datas_reset3) begin
- soc_cached_datas_flipflop3_q <= 32'd0;
- end
- if (soc_cached_sels_ce0) begin
- soc_cached_sels_flipflop0_q <= soc_cached_sels_flipflop0_d;
- end
- if (soc_cached_sels_reset0) begin
- soc_cached_sels_flipflop0_q <= 4'd0;
- end
- if (soc_cached_sels_ce1) begin
- soc_cached_sels_flipflop1_q <= soc_cached_sels_flipflop1_d;
- end
- if (soc_cached_sels_reset1) begin
- soc_cached_sels_flipflop1_q <= 4'd0;
- end
- if (soc_cached_sels_ce2) begin
- soc_cached_sels_flipflop2_q <= soc_cached_sels_flipflop2_d;
- end
- if (soc_cached_sels_reset2) begin
- soc_cached_sels_flipflop2_q <= 4'd0;
- end
- if (soc_cached_sels_ce3) begin
- soc_cached_sels_flipflop3_q <= soc_cached_sels_flipflop3_d;
- end
- if (soc_cached_sels_reset3) begin
- soc_cached_sels_flipflop3_q <= 4'd0;
- end
- vns_litedramwishbone2native_state <= vns_litedramwishbone2native_next_state;
- if (soc_count_next_value_ce) begin
- soc_count <= soc_count_next_value;
- end
- case (vns_grant)
- 1'd0: begin
- if ((~vns_request[0])) begin
- if (vns_request[1]) begin
- vns_grant <= 1'd1;
- end
- end
- end
- 1'd1: begin
- if ((~vns_request[1])) begin
- if (vns_request[0]) begin
- vns_grant <= 1'd0;
- end
- end
- end
- endcase
- vns_slave_sel_r <= vns_slave_sel;
- if (vns_wait) begin
- if ((~vns_done)) begin
- vns_count <= (vns_count - 1'd1);
+ litedramcore_twtrcon_ready <= 1'd0;
end
end else begin
- vns_count <= 20'd1000000;
- end
- vns_interface0_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank0_sel) begin
- case (vns_interface0_bank_bus_adr[3:0])
- 1'd0: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_reset0_w;
- end
- 1'd1: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_scratch3_w;
- end
- 2'd2: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_scratch2_w;
- end
- 2'd3: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_scratch1_w;
- end
- 3'd4: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_scratch0_w;
- end
- 3'd5: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_bus_errors3_w;
- end
- 3'd6: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_bus_errors2_w;
- end
- 3'd7: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_bus_errors1_w;
- end
- 4'd8: begin
- vns_interface0_bank_bus_dat_r <= vns_csrbank0_bus_errors0_w;
- end
- endcase
- end
- if (vns_csrbank0_reset0_re) begin
- soc_litedramcore_soccontroller_reset_storage <= vns_csrbank0_reset0_r;
- end
- soc_litedramcore_soccontroller_reset_re <= vns_csrbank0_reset0_re;
- if (vns_csrbank0_scratch3_re) begin
- soc_litedramcore_soccontroller_scratch_storage[31:24] <= vns_csrbank0_scratch3_r;
- end
- if (vns_csrbank0_scratch2_re) begin
- soc_litedramcore_soccontroller_scratch_storage[23:16] <= vns_csrbank0_scratch2_r;
- end
- if (vns_csrbank0_scratch1_re) begin
- soc_litedramcore_soccontroller_scratch_storage[15:8] <= vns_csrbank0_scratch1_r;
- end
- if (vns_csrbank0_scratch0_re) begin
- soc_litedramcore_soccontroller_scratch_storage[7:0] <= vns_csrbank0_scratch0_r;
- end
- soc_litedramcore_soccontroller_scratch_re <= vns_csrbank0_scratch0_re;
- vns_interface1_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank1_sel) begin
- case (vns_interface1_bank_bus_adr[0])
+ if ((~litedramcore_twtrcon_ready)) begin
+ litedramcore_twtrcon_count <= (litedramcore_twtrcon_count - 1'd1);
+ if ((litedramcore_twtrcon_count == 1'd1)) begin
+ litedramcore_twtrcon_ready <= 1'd1;
+ end
+ end
+ end
+ multiplexer_state <= multiplexer_next_state;
+ new_master_wdata_ready0 <= ((((((((1'd0 | ((roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_wdata_ready)) | ((roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_wdata_ready)) | ((roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_wdata_ready)) | ((roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_wdata_ready)) | ((roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_wdata_ready)) | ((roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_wdata_ready)) | ((roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_wdata_ready)) | ((roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_wdata_ready));
+ new_master_wdata_ready1 <= new_master_wdata_ready0;
+ new_master_wdata_ready2 <= new_master_wdata_ready1;
+ new_master_rdata_valid0 <= ((((((((1'd0 | ((roundrobin0_grant == 1'd0) & litedramcore_interface_bank0_rdata_valid)) | ((roundrobin1_grant == 1'd0) & litedramcore_interface_bank1_rdata_valid)) | ((roundrobin2_grant == 1'd0) & litedramcore_interface_bank2_rdata_valid)) | ((roundrobin3_grant == 1'd0) & litedramcore_interface_bank3_rdata_valid)) | ((roundrobin4_grant == 1'd0) & litedramcore_interface_bank4_rdata_valid)) | ((roundrobin5_grant == 1'd0) & litedramcore_interface_bank5_rdata_valid)) | ((roundrobin6_grant == 1'd0) & litedramcore_interface_bank6_rdata_valid)) | ((roundrobin7_grant == 1'd0) & litedramcore_interface_bank7_rdata_valid));
+ new_master_rdata_valid1 <= new_master_rdata_valid0;
+ new_master_rdata_valid2 <= new_master_rdata_valid1;
+ new_master_rdata_valid3 <= new_master_rdata_valid2;
+ new_master_rdata_valid4 <= new_master_rdata_valid3;
+ new_master_rdata_valid5 <= new_master_rdata_valid4;
+ new_master_rdata_valid6 <= new_master_rdata_valid5;
+ new_master_rdata_valid7 <= new_master_rdata_valid6;
+ new_master_rdata_valid8 <= new_master_rdata_valid7;
+ interface0_bank_bus_dat_r <= 1'd0;
+ if (csrbank0_sel) begin
+ case (interface0_bank_bus_adr[3])
1'd0: begin
- vns_interface1_bank_bus_dat_r <= vns_csrbank1_init_done0_w;
+ interface0_bank_bus_dat_r <= csrbank0_init_done0_w;
end
1'd1: begin
- vns_interface1_bank_bus_dat_r <= vns_csrbank1_init_error0_w;
+ interface0_bank_bus_dat_r <= csrbank0_init_error0_w;
end
endcase
end
- if (vns_csrbank1_init_done0_re) begin
- soc_init_done_storage <= vns_csrbank1_init_done0_r;
+ if (csrbank0_init_done0_re) begin
+ init_done_storage <= csrbank0_init_done0_r;
end
- soc_init_done_re <= vns_csrbank1_init_done0_re;
- if (vns_csrbank1_init_error0_re) begin
- soc_init_error_storage <= vns_csrbank1_init_error0_r;
+ init_done_re <= csrbank0_init_done0_re;
+ if (csrbank0_init_error0_re) begin
+ init_error_storage <= csrbank0_init_error0_r;
end
- soc_init_error_re <= vns_csrbank1_init_error0_re;
- vns_interface2_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank2_sel) begin
- case (vns_interface2_bank_bus_adr[3:0])
+ init_error_re <= csrbank0_init_error0_re;
+ interface1_bank_bus_dat_r <= 1'd0;
+ if (csrbank1_sel) begin
+ case (interface1_bank_bus_adr[6:3])
1'd0: begin
- vns_interface2_bank_bus_dat_r <= vns_csrbank2_half_sys8x_taps0_w;
+ interface1_bank_bus_dat_r <= csrbank1_half_sys8x_taps0_w;
end
1'd1: begin
- vns_interface2_bank_bus_dat_r <= vns_csrbank2_wlevel_en0_w;
+ interface1_bank_bus_dat_r <= csrbank1_wlevel_en0_w;
end
2'd2: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_wlevel_strobe_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_wlevel_strobe_w;
end
2'd3: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_cdly_rst_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_cdly_rst_w;
end
3'd4: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_cdly_inc_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_cdly_inc_w;
end
3'd5: begin
- vns_interface2_bank_bus_dat_r <= vns_csrbank2_dly_sel0_w;
+ interface1_bank_bus_dat_r <= csrbank1_dly_sel0_w;
end
3'd6: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_rdly_dq_rst_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_rst_w;
end
3'd7: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_rdly_dq_inc_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_inc_w;
end
4'd8: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_rdly_dq_bitslip_rst_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_rst_w;
end
4'd9: begin
- vns_interface2_bank_bus_dat_r <= soc_a7ddrphy_rdly_dq_bitslip_w;
+ interface1_bank_bus_dat_r <= a7ddrphy_rdly_dq_bitslip_w;
end
endcase
end
- if (vns_csrbank2_half_sys8x_taps0_re) begin
- soc_a7ddrphy_half_sys8x_taps_storage[4:0] <= vns_csrbank2_half_sys8x_taps0_r;
+ if (csrbank1_half_sys8x_taps0_re) begin
+ a7ddrphy_half_sys8x_taps_storage[4:0] <= csrbank1_half_sys8x_taps0_r;
end
- soc_a7ddrphy_half_sys8x_taps_re <= vns_csrbank2_half_sys8x_taps0_re;
- if (vns_csrbank2_wlevel_en0_re) begin
- soc_a7ddrphy_wlevel_en_storage <= vns_csrbank2_wlevel_en0_r;
+ a7ddrphy_half_sys8x_taps_re <= csrbank1_half_sys8x_taps0_re;
+ if (csrbank1_wlevel_en0_re) begin
+ a7ddrphy_wlevel_en_storage <= csrbank1_wlevel_en0_r;
end
- soc_a7ddrphy_wlevel_en_re <= vns_csrbank2_wlevel_en0_re;
- if (vns_csrbank2_dly_sel0_re) begin
- soc_a7ddrphy_dly_sel_storage[1:0] <= vns_csrbank2_dly_sel0_r;
+ a7ddrphy_wlevel_en_re <= csrbank1_wlevel_en0_re;
+ if (csrbank1_dly_sel0_re) begin
+ a7ddrphy_dly_sel_storage[1:0] <= csrbank1_dly_sel0_r;
end
- soc_a7ddrphy_dly_sel_re <= vns_csrbank2_dly_sel0_re;
- vns_interface3_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank3_sel) begin
- case (vns_interface3_bank_bus_adr[5:0])
+ a7ddrphy_dly_sel_re <= csrbank1_dly_sel0_re;
+ interface2_bank_bus_dat_r <= 1'd0;
+ if (csrbank2_sel) begin
+ case (interface2_bank_bus_adr[8:3])
1'd0: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_control0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_control0_w;
end
1'd1: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_command0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_command0_w;
end
2'd2: begin
- vns_interface3_bank_bus_dat_r <= soc_sdram_phaseinjector0_command_issue_w;
+ interface2_bank_bus_dat_r <= litedramcore_phaseinjector0_command_issue_w;
end
2'd3: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_address1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_address1_w;
end
3'd4: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_address0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_address0_w;
end
3'd5: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_baddress0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_baddress0_w;
end
3'd6: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_wrdata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata3_w;
end
3'd7: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_wrdata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata2_w;
end
4'd8: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_wrdata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata1_w;
end
4'd9: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_wrdata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_wrdata0_w;
end
4'd10: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_rddata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata3_w;
end
4'd11: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_rddata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata2_w;
end
4'd12: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_rddata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata1_w;
end
4'd13: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi0_rddata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi0_rddata0_w;
end
4'd14: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_command0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_command0_w;
end
4'd15: begin
- vns_interface3_bank_bus_dat_r <= soc_sdram_phaseinjector1_command_issue_w;
+ interface2_bank_bus_dat_r <= litedramcore_phaseinjector1_command_issue_w;
end
5'd16: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_address1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_address1_w;
end
5'd17: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_address0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_address0_w;
end
5'd18: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_baddress0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_baddress0_w;
end
5'd19: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_wrdata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata3_w;
end
5'd20: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_wrdata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata2_w;
end
5'd21: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_wrdata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata1_w;
end
5'd22: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_wrdata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_wrdata0_w;
end
5'd23: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_rddata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata3_w;
end
5'd24: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_rddata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata2_w;
end
5'd25: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_rddata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata1_w;
end
5'd26: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi1_rddata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi1_rddata0_w;
end
5'd27: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_command0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_command0_w;
end
5'd28: begin
- vns_interface3_bank_bus_dat_r <= soc_sdram_phaseinjector2_command_issue_w;
+ interface2_bank_bus_dat_r <= litedramcore_phaseinjector2_command_issue_w;
end
5'd29: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_address1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_address1_w;
end
5'd30: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_address0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_address0_w;
end
5'd31: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_baddress0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_baddress0_w;
end
6'd32: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_wrdata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata3_w;
end
6'd33: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_wrdata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata2_w;
end
6'd34: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_wrdata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata1_w;
end
6'd35: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_wrdata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_wrdata0_w;
end
6'd36: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_rddata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata3_w;
end
6'd37: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_rddata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata2_w;
end
6'd38: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_rddata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata1_w;
end
6'd39: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi2_rddata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi2_rddata0_w;
end
6'd40: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_command0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_command0_w;
end
6'd41: begin
- vns_interface3_bank_bus_dat_r <= soc_sdram_phaseinjector3_command_issue_w;
+ interface2_bank_bus_dat_r <= litedramcore_phaseinjector3_command_issue_w;
end
6'd42: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_address1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_address1_w;
end
6'd43: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_address0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_address0_w;
end
6'd44: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_baddress0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_baddress0_w;
end
6'd45: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_wrdata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata3_w;
end
6'd46: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_wrdata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata2_w;
end
6'd47: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_wrdata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata1_w;
end
6'd48: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_wrdata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_wrdata0_w;
end
6'd49: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_rddata3_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata3_w;
end
6'd50: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_rddata2_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata2_w;
end
6'd51: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_rddata1_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata1_w;
end
6'd52: begin
- vns_interface3_bank_bus_dat_r <= vns_csrbank3_dfii_pi3_rddata0_w;
+ interface2_bank_bus_dat_r <= csrbank2_dfii_pi3_rddata0_w;
end
endcase
end
- if (vns_csrbank3_dfii_control0_re) begin
- soc_sdram_storage[3:0] <= vns_csrbank3_dfii_control0_r;
- end
- soc_sdram_re <= vns_csrbank3_dfii_control0_re;
- if (vns_csrbank3_dfii_pi0_command0_re) begin
- soc_sdram_phaseinjector0_command_storage[5:0] <= vns_csrbank3_dfii_pi0_command0_r;
- end
- soc_sdram_phaseinjector0_command_re <= vns_csrbank3_dfii_pi0_command0_re;
- if (vns_csrbank3_dfii_pi0_address1_re) begin
- soc_sdram_phaseinjector0_address_storage[14:8] <= vns_csrbank3_dfii_pi0_address1_r;
- end
- if (vns_csrbank3_dfii_pi0_address0_re) begin
- soc_sdram_phaseinjector0_address_storage[7:0] <= vns_csrbank3_dfii_pi0_address0_r;
- end
- soc_sdram_phaseinjector0_address_re <= vns_csrbank3_dfii_pi0_address0_re;
- if (vns_csrbank3_dfii_pi0_baddress0_re) begin
- soc_sdram_phaseinjector0_baddress_storage[2:0] <= vns_csrbank3_dfii_pi0_baddress0_r;
- end
- soc_sdram_phaseinjector0_baddress_re <= vns_csrbank3_dfii_pi0_baddress0_re;
- if (vns_csrbank3_dfii_pi0_wrdata3_re) begin
- soc_sdram_phaseinjector0_wrdata_storage[31:24] <= vns_csrbank3_dfii_pi0_wrdata3_r;
- end
- if (vns_csrbank3_dfii_pi0_wrdata2_re) begin
- soc_sdram_phaseinjector0_wrdata_storage[23:16] <= vns_csrbank3_dfii_pi0_wrdata2_r;
- end
- if (vns_csrbank3_dfii_pi0_wrdata1_re) begin
- soc_sdram_phaseinjector0_wrdata_storage[15:8] <= vns_csrbank3_dfii_pi0_wrdata1_r;
- end
- if (vns_csrbank3_dfii_pi0_wrdata0_re) begin
- soc_sdram_phaseinjector0_wrdata_storage[7:0] <= vns_csrbank3_dfii_pi0_wrdata0_r;
+ if (csrbank2_dfii_control0_re) begin
+ litedramcore_storage[3:0] <= csrbank2_dfii_control0_r;
end
- soc_sdram_phaseinjector0_wrdata_re <= vns_csrbank3_dfii_pi0_wrdata0_re;
- if (vns_csrbank3_dfii_pi1_command0_re) begin
- soc_sdram_phaseinjector1_command_storage[5:0] <= vns_csrbank3_dfii_pi1_command0_r;
+ litedramcore_re <= csrbank2_dfii_control0_re;
+ if (csrbank2_dfii_pi0_command0_re) begin
+ litedramcore_phaseinjector0_command_storage[5:0] <= csrbank2_dfii_pi0_command0_r;
end
- soc_sdram_phaseinjector1_command_re <= vns_csrbank3_dfii_pi1_command0_re;
- if (vns_csrbank3_dfii_pi1_address1_re) begin
- soc_sdram_phaseinjector1_address_storage[14:8] <= vns_csrbank3_dfii_pi1_address1_r;
+ litedramcore_phaseinjector0_command_re <= csrbank2_dfii_pi0_command0_re;
+ if (csrbank2_dfii_pi0_address1_re) begin
+ litedramcore_phaseinjector0_address_storage[14:8] <= csrbank2_dfii_pi0_address1_r;
end
- if (vns_csrbank3_dfii_pi1_address0_re) begin
- soc_sdram_phaseinjector1_address_storage[7:0] <= vns_csrbank3_dfii_pi1_address0_r;
+ if (csrbank2_dfii_pi0_address0_re) begin
+ litedramcore_phaseinjector0_address_storage[7:0] <= csrbank2_dfii_pi0_address0_r;
end
- soc_sdram_phaseinjector1_address_re <= vns_csrbank3_dfii_pi1_address0_re;
- if (vns_csrbank3_dfii_pi1_baddress0_re) begin
- soc_sdram_phaseinjector1_baddress_storage[2:0] <= vns_csrbank3_dfii_pi1_baddress0_r;
+ litedramcore_phaseinjector0_address_re <= csrbank2_dfii_pi0_address0_re;
+ if (csrbank2_dfii_pi0_baddress0_re) begin
+ litedramcore_phaseinjector0_baddress_storage[2:0] <= csrbank2_dfii_pi0_baddress0_r;
end
- soc_sdram_phaseinjector1_baddress_re <= vns_csrbank3_dfii_pi1_baddress0_re;
- if (vns_csrbank3_dfii_pi1_wrdata3_re) begin
- soc_sdram_phaseinjector1_wrdata_storage[31:24] <= vns_csrbank3_dfii_pi1_wrdata3_r;
+ litedramcore_phaseinjector0_baddress_re <= csrbank2_dfii_pi0_baddress0_re;
+ if (csrbank2_dfii_pi0_wrdata3_re) begin
+ litedramcore_phaseinjector0_wrdata_storage[31:24] <= csrbank2_dfii_pi0_wrdata3_r;
end
- if (vns_csrbank3_dfii_pi1_wrdata2_re) begin
- soc_sdram_phaseinjector1_wrdata_storage[23:16] <= vns_csrbank3_dfii_pi1_wrdata2_r;
+ if (csrbank2_dfii_pi0_wrdata2_re) begin
+ litedramcore_phaseinjector0_wrdata_storage[23:16] <= csrbank2_dfii_pi0_wrdata2_r;
end
- if (vns_csrbank3_dfii_pi1_wrdata1_re) begin
- soc_sdram_phaseinjector1_wrdata_storage[15:8] <= vns_csrbank3_dfii_pi1_wrdata1_r;
+ if (csrbank2_dfii_pi0_wrdata1_re) begin
+ litedramcore_phaseinjector0_wrdata_storage[15:8] <= csrbank2_dfii_pi0_wrdata1_r;
end
- if (vns_csrbank3_dfii_pi1_wrdata0_re) begin
- soc_sdram_phaseinjector1_wrdata_storage[7:0] <= vns_csrbank3_dfii_pi1_wrdata0_r;
+ if (csrbank2_dfii_pi0_wrdata0_re) begin
+ litedramcore_phaseinjector0_wrdata_storage[7:0] <= csrbank2_dfii_pi0_wrdata0_r;
end
- soc_sdram_phaseinjector1_wrdata_re <= vns_csrbank3_dfii_pi1_wrdata0_re;
- if (vns_csrbank3_dfii_pi2_command0_re) begin
- soc_sdram_phaseinjector2_command_storage[5:0] <= vns_csrbank3_dfii_pi2_command0_r;
+ litedramcore_phaseinjector0_wrdata_re <= csrbank2_dfii_pi0_wrdata0_re;
+ if (csrbank2_dfii_pi1_command0_re) begin
+ litedramcore_phaseinjector1_command_storage[5:0] <= csrbank2_dfii_pi1_command0_r;
end
- soc_sdram_phaseinjector2_command_re <= vns_csrbank3_dfii_pi2_command0_re;
- if (vns_csrbank3_dfii_pi2_address1_re) begin
- soc_sdram_phaseinjector2_address_storage[14:8] <= vns_csrbank3_dfii_pi2_address1_r;
+ litedramcore_phaseinjector1_command_re <= csrbank2_dfii_pi1_command0_re;
+ if (csrbank2_dfii_pi1_address1_re) begin
+ litedramcore_phaseinjector1_address_storage[14:8] <= csrbank2_dfii_pi1_address1_r;
end
- if (vns_csrbank3_dfii_pi2_address0_re) begin
- soc_sdram_phaseinjector2_address_storage[7:0] <= vns_csrbank3_dfii_pi2_address0_r;
+ if (csrbank2_dfii_pi1_address0_re) begin
+ litedramcore_phaseinjector1_address_storage[7:0] <= csrbank2_dfii_pi1_address0_r;
end
- soc_sdram_phaseinjector2_address_re <= vns_csrbank3_dfii_pi2_address0_re;
- if (vns_csrbank3_dfii_pi2_baddress0_re) begin
- soc_sdram_phaseinjector2_baddress_storage[2:0] <= vns_csrbank3_dfii_pi2_baddress0_r;
+ litedramcore_phaseinjector1_address_re <= csrbank2_dfii_pi1_address0_re;
+ if (csrbank2_dfii_pi1_baddress0_re) begin
+ litedramcore_phaseinjector1_baddress_storage[2:0] <= csrbank2_dfii_pi1_baddress0_r;
end
- soc_sdram_phaseinjector2_baddress_re <= vns_csrbank3_dfii_pi2_baddress0_re;
- if (vns_csrbank3_dfii_pi2_wrdata3_re) begin
- soc_sdram_phaseinjector2_wrdata_storage[31:24] <= vns_csrbank3_dfii_pi2_wrdata3_r;
+ litedramcore_phaseinjector1_baddress_re <= csrbank2_dfii_pi1_baddress0_re;
+ if (csrbank2_dfii_pi1_wrdata3_re) begin
+ litedramcore_phaseinjector1_wrdata_storage[31:24] <= csrbank2_dfii_pi1_wrdata3_r;
end
- if (vns_csrbank3_dfii_pi2_wrdata2_re) begin
- soc_sdram_phaseinjector2_wrdata_storage[23:16] <= vns_csrbank3_dfii_pi2_wrdata2_r;
- end
- if (vns_csrbank3_dfii_pi2_wrdata1_re) begin
- soc_sdram_phaseinjector2_wrdata_storage[15:8] <= vns_csrbank3_dfii_pi2_wrdata1_r;
- end
- if (vns_csrbank3_dfii_pi2_wrdata0_re) begin
- soc_sdram_phaseinjector2_wrdata_storage[7:0] <= vns_csrbank3_dfii_pi2_wrdata0_r;
- end
- soc_sdram_phaseinjector2_wrdata_re <= vns_csrbank3_dfii_pi2_wrdata0_re;
- if (vns_csrbank3_dfii_pi3_command0_re) begin
- soc_sdram_phaseinjector3_command_storage[5:0] <= vns_csrbank3_dfii_pi3_command0_r;
- end
- soc_sdram_phaseinjector3_command_re <= vns_csrbank3_dfii_pi3_command0_re;
- if (vns_csrbank3_dfii_pi3_address1_re) begin
- soc_sdram_phaseinjector3_address_storage[14:8] <= vns_csrbank3_dfii_pi3_address1_r;
- end
- if (vns_csrbank3_dfii_pi3_address0_re) begin
- soc_sdram_phaseinjector3_address_storage[7:0] <= vns_csrbank3_dfii_pi3_address0_r;
- end
- soc_sdram_phaseinjector3_address_re <= vns_csrbank3_dfii_pi3_address0_re;
- if (vns_csrbank3_dfii_pi3_baddress0_re) begin
- soc_sdram_phaseinjector3_baddress_storage[2:0] <= vns_csrbank3_dfii_pi3_baddress0_r;
- end
- soc_sdram_phaseinjector3_baddress_re <= vns_csrbank3_dfii_pi3_baddress0_re;
- if (vns_csrbank3_dfii_pi3_wrdata3_re) begin
- soc_sdram_phaseinjector3_wrdata_storage[31:24] <= vns_csrbank3_dfii_pi3_wrdata3_r;
- end
- if (vns_csrbank3_dfii_pi3_wrdata2_re) begin
- soc_sdram_phaseinjector3_wrdata_storage[23:16] <= vns_csrbank3_dfii_pi3_wrdata2_r;
- end
- if (vns_csrbank3_dfii_pi3_wrdata1_re) begin
- soc_sdram_phaseinjector3_wrdata_storage[15:8] <= vns_csrbank3_dfii_pi3_wrdata1_r;
- end
- if (vns_csrbank3_dfii_pi3_wrdata0_re) begin
- soc_sdram_phaseinjector3_wrdata_storage[7:0] <= vns_csrbank3_dfii_pi3_wrdata0_r;
- end
- soc_sdram_phaseinjector3_wrdata_re <= vns_csrbank3_dfii_pi3_wrdata0_re;
- vns_interface4_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank4_sel) begin
- case (vns_interface4_bank_bus_adr[4:0])
- 1'd0: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_load3_w;
- end
- 1'd1: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_load2_w;
- end
- 2'd2: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_load1_w;
- end
- 2'd3: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_load0_w;
- end
- 3'd4: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_reload3_w;
- end
- 3'd5: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_reload2_w;
- end
- 3'd6: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_reload1_w;
- end
- 3'd7: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_reload0_w;
- end
- 4'd8: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_en0_w;
- end
- 4'd9: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_update_value0_w;
- end
- 4'd10: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_value3_w;
- end
- 4'd11: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_value2_w;
- end
- 4'd12: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_value1_w;
- end
- 4'd13: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_value0_w;
- end
- 4'd14: begin
- vns_interface4_bank_bus_dat_r <= soc_litedramcore_timer_eventmanager_status_w;
- end
- 4'd15: begin
- vns_interface4_bank_bus_dat_r <= soc_litedramcore_timer_eventmanager_pending_w;
- end
- 5'd16: begin
- vns_interface4_bank_bus_dat_r <= vns_csrbank4_ev_enable0_w;
- end
- endcase
+ if (csrbank2_dfii_pi1_wrdata2_re) begin
+ litedramcore_phaseinjector1_wrdata_storage[23:16] <= csrbank2_dfii_pi1_wrdata2_r;
end
- if (vns_csrbank4_load3_re) begin
- soc_litedramcore_timer_load_storage[31:24] <= vns_csrbank4_load3_r;
+ if (csrbank2_dfii_pi1_wrdata1_re) begin
+ litedramcore_phaseinjector1_wrdata_storage[15:8] <= csrbank2_dfii_pi1_wrdata1_r;
end
- if (vns_csrbank4_load2_re) begin
- soc_litedramcore_timer_load_storage[23:16] <= vns_csrbank4_load2_r;
+ if (csrbank2_dfii_pi1_wrdata0_re) begin
+ litedramcore_phaseinjector1_wrdata_storage[7:0] <= csrbank2_dfii_pi1_wrdata0_r;
end
- if (vns_csrbank4_load1_re) begin
- soc_litedramcore_timer_load_storage[15:8] <= vns_csrbank4_load1_r;
+ litedramcore_phaseinjector1_wrdata_re <= csrbank2_dfii_pi1_wrdata0_re;
+ if (csrbank2_dfii_pi2_command0_re) begin
+ litedramcore_phaseinjector2_command_storage[5:0] <= csrbank2_dfii_pi2_command0_r;
end
- if (vns_csrbank4_load0_re) begin
- soc_litedramcore_timer_load_storage[7:0] <= vns_csrbank4_load0_r;
+ litedramcore_phaseinjector2_command_re <= csrbank2_dfii_pi2_command0_re;
+ if (csrbank2_dfii_pi2_address1_re) begin
+ litedramcore_phaseinjector2_address_storage[14:8] <= csrbank2_dfii_pi2_address1_r;
end
- soc_litedramcore_timer_load_re <= vns_csrbank4_load0_re;
- if (vns_csrbank4_reload3_re) begin
- soc_litedramcore_timer_reload_storage[31:24] <= vns_csrbank4_reload3_r;
+ if (csrbank2_dfii_pi2_address0_re) begin
+ litedramcore_phaseinjector2_address_storage[7:0] <= csrbank2_dfii_pi2_address0_r;
end
- if (vns_csrbank4_reload2_re) begin
- soc_litedramcore_timer_reload_storage[23:16] <= vns_csrbank4_reload2_r;
+ litedramcore_phaseinjector2_address_re <= csrbank2_dfii_pi2_address0_re;
+ if (csrbank2_dfii_pi2_baddress0_re) begin
+ litedramcore_phaseinjector2_baddress_storage[2:0] <= csrbank2_dfii_pi2_baddress0_r;
end
- if (vns_csrbank4_reload1_re) begin
- soc_litedramcore_timer_reload_storage[15:8] <= vns_csrbank4_reload1_r;
+ litedramcore_phaseinjector2_baddress_re <= csrbank2_dfii_pi2_baddress0_re;
+ if (csrbank2_dfii_pi2_wrdata3_re) begin
+ litedramcore_phaseinjector2_wrdata_storage[31:24] <= csrbank2_dfii_pi2_wrdata3_r;
end
- if (vns_csrbank4_reload0_re) begin
- soc_litedramcore_timer_reload_storage[7:0] <= vns_csrbank4_reload0_r;
+ if (csrbank2_dfii_pi2_wrdata2_re) begin
+ litedramcore_phaseinjector2_wrdata_storage[23:16] <= csrbank2_dfii_pi2_wrdata2_r;
end
- soc_litedramcore_timer_reload_re <= vns_csrbank4_reload0_re;
- if (vns_csrbank4_en0_re) begin
- soc_litedramcore_timer_en_storage <= vns_csrbank4_en0_r;
+ if (csrbank2_dfii_pi2_wrdata1_re) begin
+ litedramcore_phaseinjector2_wrdata_storage[15:8] <= csrbank2_dfii_pi2_wrdata1_r;
end
- soc_litedramcore_timer_en_re <= vns_csrbank4_en0_re;
- if (vns_csrbank4_update_value0_re) begin
- soc_litedramcore_timer_update_value_storage <= vns_csrbank4_update_value0_r;
+ if (csrbank2_dfii_pi2_wrdata0_re) begin
+ litedramcore_phaseinjector2_wrdata_storage[7:0] <= csrbank2_dfii_pi2_wrdata0_r;
end
- soc_litedramcore_timer_update_value_re <= vns_csrbank4_update_value0_re;
- if (vns_csrbank4_ev_enable0_re) begin
- soc_litedramcore_timer_eventmanager_storage <= vns_csrbank4_ev_enable0_r;
+ litedramcore_phaseinjector2_wrdata_re <= csrbank2_dfii_pi2_wrdata0_re;
+ if (csrbank2_dfii_pi3_command0_re) begin
+ litedramcore_phaseinjector3_command_storage[5:0] <= csrbank2_dfii_pi3_command0_r;
end
- soc_litedramcore_timer_eventmanager_re <= vns_csrbank4_ev_enable0_re;
- vns_interface5_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank5_sel) begin
- case (vns_interface5_bank_bus_adr[2:0])
- 1'd0: begin
- vns_interface5_bank_bus_dat_r <= soc_litedramcore_uart_rxtx_w;
- end
- 1'd1: begin
- vns_interface5_bank_bus_dat_r <= vns_csrbank5_txfull_w;
- end
- 2'd2: begin
- vns_interface5_bank_bus_dat_r <= vns_csrbank5_rxempty_w;
- end
- 2'd3: begin
- vns_interface5_bank_bus_dat_r <= soc_litedramcore_uart_eventmanager_status_w;
- end
- 3'd4: begin
- vns_interface5_bank_bus_dat_r <= soc_litedramcore_uart_eventmanager_pending_w;
- end
- 3'd5: begin
- vns_interface5_bank_bus_dat_r <= vns_csrbank5_ev_enable0_w;
- end
- endcase
+ litedramcore_phaseinjector3_command_re <= csrbank2_dfii_pi3_command0_re;
+ if (csrbank2_dfii_pi3_address1_re) begin
+ litedramcore_phaseinjector3_address_storage[14:8] <= csrbank2_dfii_pi3_address1_r;
end
- if (vns_csrbank5_ev_enable0_re) begin
- soc_litedramcore_uart_eventmanager_storage[1:0] <= vns_csrbank5_ev_enable0_r;
+ if (csrbank2_dfii_pi3_address0_re) begin
+ litedramcore_phaseinjector3_address_storage[7:0] <= csrbank2_dfii_pi3_address0_r;
end
- soc_litedramcore_uart_eventmanager_re <= vns_csrbank5_ev_enable0_re;
- vns_interface6_bank_bus_dat_r <= 1'd0;
- if (vns_csrbank6_sel) begin
- case (vns_interface6_bank_bus_adr[1:0])
- 1'd0: begin
- vns_interface6_bank_bus_dat_r <= vns_csrbank6_tuning_word3_w;
- end
- 1'd1: begin
- vns_interface6_bank_bus_dat_r <= vns_csrbank6_tuning_word2_w;
- end
- 2'd2: begin
- vns_interface6_bank_bus_dat_r <= vns_csrbank6_tuning_word1_w;
- end
- 2'd3: begin
- vns_interface6_bank_bus_dat_r <= vns_csrbank6_tuning_word0_w;
- end
- endcase
+ litedramcore_phaseinjector3_address_re <= csrbank2_dfii_pi3_address0_re;
+ if (csrbank2_dfii_pi3_baddress0_re) begin
+ litedramcore_phaseinjector3_baddress_storage[2:0] <= csrbank2_dfii_pi3_baddress0_r;
end
- if (vns_csrbank6_tuning_word3_re) begin
- soc_litedramcore_storage[31:24] <= vns_csrbank6_tuning_word3_r;
+ litedramcore_phaseinjector3_baddress_re <= csrbank2_dfii_pi3_baddress0_re;
+ if (csrbank2_dfii_pi3_wrdata3_re) begin
+ litedramcore_phaseinjector3_wrdata_storage[31:24] <= csrbank2_dfii_pi3_wrdata3_r;
end
- if (vns_csrbank6_tuning_word2_re) begin
- soc_litedramcore_storage[23:16] <= vns_csrbank6_tuning_word2_r;
+ if (csrbank2_dfii_pi3_wrdata2_re) begin
+ litedramcore_phaseinjector3_wrdata_storage[23:16] <= csrbank2_dfii_pi3_wrdata2_r;
end
- if (vns_csrbank6_tuning_word1_re) begin
- soc_litedramcore_storage[15:8] <= vns_csrbank6_tuning_word1_r;
+ if (csrbank2_dfii_pi3_wrdata1_re) begin
+ litedramcore_phaseinjector3_wrdata_storage[15:8] <= csrbank2_dfii_pi3_wrdata1_r;
end
- if (vns_csrbank6_tuning_word0_re) begin
- soc_litedramcore_storage[7:0] <= vns_csrbank6_tuning_word0_r;
+ if (csrbank2_dfii_pi3_wrdata0_re) begin
+ litedramcore_phaseinjector3_wrdata_storage[7:0] <= csrbank2_dfii_pi3_wrdata0_r;
end
- soc_litedramcore_re <= vns_csrbank6_tuning_word0_re;
+ litedramcore_phaseinjector3_wrdata_re <= csrbank2_dfii_pi3_wrdata0_re;
if (sys_rst) begin
- soc_litedramcore_soccontroller_reset_storage <= 1'd0;
- soc_litedramcore_soccontroller_reset_re <= 1'd0;
- soc_litedramcore_soccontroller_scratch_storage <= 32'd305419896;
- soc_litedramcore_soccontroller_scratch_re <= 1'd0;
- soc_litedramcore_soccontroller_bus_errors <= 32'd0;
- soc_litedramcore_litedramcore_ram_bus_ack <= 1'd0;
- soc_litedramcore_ram_bus_ram_bus_ack <= 1'd0;
- serial_tx <= 1'd1;
- soc_litedramcore_storage <= 32'd4947802;
- soc_litedramcore_re <= 1'd0;
- soc_litedramcore_sink_ready <= 1'd0;
- soc_litedramcore_uart_clk_txen <= 1'd0;
- soc_litedramcore_tx_busy <= 1'd0;
- soc_litedramcore_source_valid <= 1'd0;
- soc_litedramcore_uart_clk_rxen <= 1'd0;
- soc_litedramcore_rx_r <= 1'd0;
- soc_litedramcore_rx_busy <= 1'd0;
- soc_litedramcore_uart_tx_pending <= 1'd0;
- soc_litedramcore_uart_tx_old_trigger <= 1'd0;
- soc_litedramcore_uart_rx_pending <= 1'd0;
- soc_litedramcore_uart_rx_old_trigger <= 1'd0;
- soc_litedramcore_uart_eventmanager_storage <= 2'd0;
- soc_litedramcore_uart_eventmanager_re <= 1'd0;
- soc_litedramcore_uart_tx_fifo_readable <= 1'd0;
- soc_litedramcore_uart_tx_fifo_level0 <= 5'd0;
- soc_litedramcore_uart_tx_fifo_produce <= 4'd0;
- soc_litedramcore_uart_tx_fifo_consume <= 4'd0;
- soc_litedramcore_uart_rx_fifo_readable <= 1'd0;
- soc_litedramcore_uart_rx_fifo_level0 <= 5'd0;
- soc_litedramcore_uart_rx_fifo_produce <= 4'd0;
- soc_litedramcore_uart_rx_fifo_consume <= 4'd0;
- soc_litedramcore_timer_load_storage <= 32'd0;
- soc_litedramcore_timer_load_re <= 1'd0;
- soc_litedramcore_timer_reload_storage <= 32'd0;
- soc_litedramcore_timer_reload_re <= 1'd0;
- soc_litedramcore_timer_en_storage <= 1'd0;
- soc_litedramcore_timer_en_re <= 1'd0;
- soc_litedramcore_timer_update_value_storage <= 1'd0;
- soc_litedramcore_timer_update_value_re <= 1'd0;
- soc_litedramcore_timer_value_status <= 32'd0;
- soc_litedramcore_timer_zero_pending <= 1'd0;
- soc_litedramcore_timer_zero_old_trigger <= 1'd0;
- soc_litedramcore_timer_eventmanager_storage <= 1'd0;
- soc_litedramcore_timer_eventmanager_re <= 1'd0;
- soc_litedramcore_timer_value <= 32'd0;
- soc_a7ddrphy_half_sys8x_taps_storage <= 5'd8;
- soc_a7ddrphy_half_sys8x_taps_re <= 1'd0;
- soc_a7ddrphy_wlevel_en_storage <= 1'd0;
- soc_a7ddrphy_wlevel_en_re <= 1'd0;
- soc_a7ddrphy_dly_sel_storage <= 2'd0;
- soc_a7ddrphy_dly_sel_re <= 1'd0;
- soc_a7ddrphy_dfi_p0_rddata_valid <= 1'd0;
- soc_a7ddrphy_dfi_p1_rddata_valid <= 1'd0;
- soc_a7ddrphy_dfi_p2_rddata_valid <= 1'd0;
- soc_a7ddrphy_dfi_p3_rddata_valid <= 1'd0;
- soc_a7ddrphy_dqs_oe_delayed <= 1'd0;
- soc_a7ddrphy_dqspattern_o1 <= 8'd0;
- soc_a7ddrphy_dq_oe_delayed <= 1'd0;
- soc_a7ddrphy_bitslip0_value <= 3'd0;
- soc_a7ddrphy_bitslip1_value <= 3'd0;
- soc_a7ddrphy_bitslip2_value <= 3'd0;
- soc_a7ddrphy_bitslip3_value <= 3'd0;
- soc_a7ddrphy_bitslip4_value <= 3'd0;
- soc_a7ddrphy_bitslip5_value <= 3'd0;
- soc_a7ddrphy_bitslip6_value <= 3'd0;
- soc_a7ddrphy_bitslip7_value <= 3'd0;
- soc_a7ddrphy_bitslip8_value <= 3'd0;
- soc_a7ddrphy_bitslip9_value <= 3'd0;
- soc_a7ddrphy_bitslip10_value <= 3'd0;
- soc_a7ddrphy_bitslip11_value <= 3'd0;
- soc_a7ddrphy_bitslip12_value <= 3'd0;
- soc_a7ddrphy_bitslip13_value <= 3'd0;
- soc_a7ddrphy_bitslip14_value <= 3'd0;
- soc_a7ddrphy_bitslip15_value <= 3'd0;
- soc_a7ddrphy_rddata_en_last <= 8'd0;
- soc_a7ddrphy_wrdata_en_last <= 4'd0;
- soc_sdram_storage <= 4'd0;
- soc_sdram_re <= 1'd0;
- soc_sdram_phaseinjector0_command_storage <= 6'd0;
- soc_sdram_phaseinjector0_command_re <= 1'd0;
- soc_sdram_phaseinjector0_address_re <= 1'd0;
- soc_sdram_phaseinjector0_baddress_re <= 1'd0;
- soc_sdram_phaseinjector0_wrdata_re <= 1'd0;
- soc_sdram_phaseinjector0_status <= 32'd0;
- soc_sdram_phaseinjector1_command_storage <= 6'd0;
- soc_sdram_phaseinjector1_command_re <= 1'd0;
- soc_sdram_phaseinjector1_address_re <= 1'd0;
- soc_sdram_phaseinjector1_baddress_re <= 1'd0;
- soc_sdram_phaseinjector1_wrdata_re <= 1'd0;
- soc_sdram_phaseinjector1_status <= 32'd0;
- soc_sdram_phaseinjector2_command_storage <= 6'd0;
- soc_sdram_phaseinjector2_command_re <= 1'd0;
- soc_sdram_phaseinjector2_address_re <= 1'd0;
- soc_sdram_phaseinjector2_baddress_re <= 1'd0;
- soc_sdram_phaseinjector2_wrdata_re <= 1'd0;
- soc_sdram_phaseinjector2_status <= 32'd0;
- soc_sdram_phaseinjector3_command_storage <= 6'd0;
- soc_sdram_phaseinjector3_command_re <= 1'd0;
- soc_sdram_phaseinjector3_address_re <= 1'd0;
- soc_sdram_phaseinjector3_baddress_re <= 1'd0;
- soc_sdram_phaseinjector3_wrdata_re <= 1'd0;
- soc_sdram_phaseinjector3_status <= 32'd0;
- soc_sdram_dfi_p0_address <= 15'd0;
- soc_sdram_dfi_p0_bank <= 3'd0;
- soc_sdram_dfi_p0_cas_n <= 1'd1;
- soc_sdram_dfi_p0_cs_n <= 1'd1;
- soc_sdram_dfi_p0_ras_n <= 1'd1;
- soc_sdram_dfi_p0_we_n <= 1'd1;
- soc_sdram_dfi_p0_wrdata_en <= 1'd0;
- soc_sdram_dfi_p0_rddata_en <= 1'd0;
- soc_sdram_dfi_p1_address <= 15'd0;
- soc_sdram_dfi_p1_bank <= 3'd0;
- soc_sdram_dfi_p1_cas_n <= 1'd1;
- soc_sdram_dfi_p1_cs_n <= 1'd1;
- soc_sdram_dfi_p1_ras_n <= 1'd1;
- soc_sdram_dfi_p1_we_n <= 1'd1;
- soc_sdram_dfi_p1_wrdata_en <= 1'd0;
- soc_sdram_dfi_p1_rddata_en <= 1'd0;
- soc_sdram_dfi_p2_address <= 15'd0;
- soc_sdram_dfi_p2_bank <= 3'd0;
- soc_sdram_dfi_p2_cas_n <= 1'd1;
- soc_sdram_dfi_p2_cs_n <= 1'd1;
- soc_sdram_dfi_p2_ras_n <= 1'd1;
- soc_sdram_dfi_p2_we_n <= 1'd1;
- soc_sdram_dfi_p2_wrdata_en <= 1'd0;
- soc_sdram_dfi_p2_rddata_en <= 1'd0;
- soc_sdram_dfi_p3_address <= 15'd0;
- soc_sdram_dfi_p3_bank <= 3'd0;
- soc_sdram_dfi_p3_cas_n <= 1'd1;
- soc_sdram_dfi_p3_cs_n <= 1'd1;
- soc_sdram_dfi_p3_ras_n <= 1'd1;
- soc_sdram_dfi_p3_we_n <= 1'd1;
- soc_sdram_dfi_p3_wrdata_en <= 1'd0;
- soc_sdram_dfi_p3_rddata_en <= 1'd0;
- soc_sdram_timer_count1 <= 10'd781;
- soc_sdram_postponer_req_o <= 1'd0;
- soc_sdram_postponer_count <= 1'd0;
- soc_sdram_sequencer_done1 <= 1'd0;
- soc_sdram_sequencer_counter <= 6'd0;
- soc_sdram_sequencer_count <= 1'd0;
- soc_sdram_zqcs_timer_count1 <= 27'd99999999;
- soc_sdram_zqcs_executer_done <= 1'd0;
- soc_sdram_zqcs_executer_counter <= 5'd0;
- soc_sdram_bankmachine0_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine0_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine0_row <= 15'd0;
- soc_sdram_bankmachine0_row_opened <= 1'd0;
- soc_sdram_bankmachine0_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine0_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine0_trccon_ready <= 1'd1;
- soc_sdram_bankmachine0_trccon_count <= 3'd0;
- soc_sdram_bankmachine0_trascon_ready <= 1'd1;
- soc_sdram_bankmachine0_trascon_count <= 3'd0;
- soc_sdram_bankmachine1_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine1_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine1_row <= 15'd0;
- soc_sdram_bankmachine1_row_opened <= 1'd0;
- soc_sdram_bankmachine1_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine1_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine1_trccon_ready <= 1'd1;
- soc_sdram_bankmachine1_trccon_count <= 3'd0;
- soc_sdram_bankmachine1_trascon_ready <= 1'd1;
- soc_sdram_bankmachine1_trascon_count <= 3'd0;
- soc_sdram_bankmachine2_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine2_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine2_row <= 15'd0;
- soc_sdram_bankmachine2_row_opened <= 1'd0;
- soc_sdram_bankmachine2_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine2_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine2_trccon_ready <= 1'd1;
- soc_sdram_bankmachine2_trccon_count <= 3'd0;
- soc_sdram_bankmachine2_trascon_ready <= 1'd1;
- soc_sdram_bankmachine2_trascon_count <= 3'd0;
- soc_sdram_bankmachine3_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine3_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine3_row <= 15'd0;
- soc_sdram_bankmachine3_row_opened <= 1'd0;
- soc_sdram_bankmachine3_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine3_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine3_trccon_ready <= 1'd1;
- soc_sdram_bankmachine3_trccon_count <= 3'd0;
- soc_sdram_bankmachine3_trascon_ready <= 1'd1;
- soc_sdram_bankmachine3_trascon_count <= 3'd0;
- soc_sdram_bankmachine4_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine4_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine4_row <= 15'd0;
- soc_sdram_bankmachine4_row_opened <= 1'd0;
- soc_sdram_bankmachine4_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine4_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine4_trccon_ready <= 1'd1;
- soc_sdram_bankmachine4_trccon_count <= 3'd0;
- soc_sdram_bankmachine4_trascon_ready <= 1'd1;
- soc_sdram_bankmachine4_trascon_count <= 3'd0;
- soc_sdram_bankmachine5_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine5_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine5_row <= 15'd0;
- soc_sdram_bankmachine5_row_opened <= 1'd0;
- soc_sdram_bankmachine5_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine5_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine5_trccon_ready <= 1'd1;
- soc_sdram_bankmachine5_trccon_count <= 3'd0;
- soc_sdram_bankmachine5_trascon_ready <= 1'd1;
- soc_sdram_bankmachine5_trascon_count <= 3'd0;
- soc_sdram_bankmachine6_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine6_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine6_row <= 15'd0;
- soc_sdram_bankmachine6_row_opened <= 1'd0;
- soc_sdram_bankmachine6_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine6_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine6_trccon_ready <= 1'd1;
- soc_sdram_bankmachine6_trccon_count <= 3'd0;
- soc_sdram_bankmachine6_trascon_ready <= 1'd1;
- soc_sdram_bankmachine6_trascon_count <= 3'd0;
- soc_sdram_bankmachine7_cmd_buffer_lookahead_level <= 5'd0;
- soc_sdram_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0;
- soc_sdram_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0;
- soc_sdram_bankmachine7_cmd_buffer_source_valid <= 1'd0;
- soc_sdram_bankmachine7_row <= 15'd0;
- soc_sdram_bankmachine7_row_opened <= 1'd0;
- soc_sdram_bankmachine7_twtpcon_ready <= 1'd1;
- soc_sdram_bankmachine7_twtpcon_count <= 3'd0;
- soc_sdram_bankmachine7_trccon_ready <= 1'd1;
- soc_sdram_bankmachine7_trccon_count <= 3'd0;
- soc_sdram_bankmachine7_trascon_ready <= 1'd1;
- soc_sdram_bankmachine7_trascon_count <= 3'd0;
- soc_sdram_choose_cmd_grant <= 3'd0;
- soc_sdram_choose_req_grant <= 3'd0;
- soc_sdram_trrdcon_ready <= 1'd1;
- soc_sdram_trrdcon_count <= 1'd0;
- soc_sdram_tfawcon_ready <= 1'd1;
- soc_sdram_tfawcon_window <= 5'd0;
- soc_sdram_tccdcon_ready <= 1'd1;
- soc_sdram_tccdcon_count <= 1'd0;
- soc_sdram_twtrcon_ready <= 1'd1;
- soc_sdram_twtrcon_count <= 3'd0;
- soc_sdram_time0 <= 5'd0;
- soc_sdram_time1 <= 4'd0;
- soc_address_q <= 30'd0;
- soc_counter <= 2'd0;
- soc_need_refill_q <= 1'd1;
- soc_cached_datas_flipflop0_q <= 32'd0;
- soc_cached_datas_flipflop1_q <= 32'd0;
- soc_cached_datas_flipflop2_q <= 32'd0;
- soc_cached_datas_flipflop3_q <= 32'd0;
- soc_cached_sels_flipflop0_q <= 4'd0;
- soc_cached_sels_flipflop1_q <= 4'd0;
- soc_cached_sels_flipflop2_q <= 4'd0;
- soc_cached_sels_flipflop3_q <= 4'd0;
- soc_count <= 1'd0;
- soc_init_done_storage <= 1'd0;
- soc_init_done_re <= 1'd0;
- soc_init_error_storage <= 1'd0;
- soc_init_error_re <= 1'd0;
- vns_wb2csr_state <= 1'd0;
- vns_refresher_state <= 2'd0;
- vns_bankmachine0_state <= 4'd0;
- vns_bankmachine1_state <= 4'd0;
- vns_bankmachine2_state <= 4'd0;
- vns_bankmachine3_state <= 4'd0;
- vns_bankmachine4_state <= 4'd0;
- vns_bankmachine5_state <= 4'd0;
- vns_bankmachine6_state <= 4'd0;
- vns_bankmachine7_state <= 4'd0;
- vns_multiplexer_state <= 4'd0;
- vns_roundrobin0_grant <= 1'd0;
- vns_roundrobin1_grant <= 1'd0;
- vns_roundrobin2_grant <= 1'd0;
- vns_roundrobin3_grant <= 1'd0;
- vns_roundrobin4_grant <= 1'd0;
- vns_roundrobin5_grant <= 1'd0;
- vns_roundrobin6_grant <= 1'd0;
- vns_roundrobin7_grant <= 1'd0;
- vns_new_master_wdata_ready0 <= 1'd0;
- vns_new_master_wdata_ready1 <= 1'd0;
- vns_new_master_wdata_ready2 <= 1'd0;
- vns_new_master_wdata_ready3 <= 1'd0;
- vns_new_master_wdata_ready4 <= 1'd0;
- vns_new_master_wdata_ready5 <= 1'd0;
- vns_new_master_rdata_valid0 <= 1'd0;
- vns_new_master_rdata_valid1 <= 1'd0;
- vns_new_master_rdata_valid2 <= 1'd0;
- vns_new_master_rdata_valid3 <= 1'd0;
- vns_new_master_rdata_valid4 <= 1'd0;
- vns_new_master_rdata_valid5 <= 1'd0;
- vns_new_master_rdata_valid6 <= 1'd0;
- vns_new_master_rdata_valid7 <= 1'd0;
- vns_new_master_rdata_valid8 <= 1'd0;
- vns_new_master_rdata_valid9 <= 1'd0;
- vns_new_master_rdata_valid10 <= 1'd0;
- vns_new_master_rdata_valid11 <= 1'd0;
- vns_new_master_rdata_valid12 <= 1'd0;
- vns_new_master_rdata_valid13 <= 1'd0;
- vns_new_master_rdata_valid14 <= 1'd0;
- vns_new_master_rdata_valid15 <= 1'd0;
- vns_new_master_rdata_valid16 <= 1'd0;
- vns_new_master_rdata_valid17 <= 1'd0;
- vns_converter_state <= 3'd0;
- vns_litedramwishbone2native_state <= 2'd0;
- vns_grant <= 1'd0;
- vns_slave_sel_r <= 4'd0;
- vns_count <= 20'd1000000;
- end
- vns_regs0 <= serial_rx;
- vns_regs1 <= vns_regs0;
-end
-
-reg [31:0] mem[0:6143];
-reg [31:0] memdat;
-always @(posedge sys_clk) begin
- memdat <= mem[soc_litedramcore_litedramcore_adr];
-end
-
-assign soc_litedramcore_litedramcore_dat_r = memdat;
-
-initial begin
- $readmemh("litedram_core.init", mem);
-end
-
-reg [31:0] mem_1[0:1023];
-reg [9:0] memadr;
-always @(posedge sys_clk) begin
- if (soc_litedramcore_ram_we[0])
- mem_1[soc_litedramcore_ram_adr][7:0] <= soc_litedramcore_ram_dat_w[7:0];
- if (soc_litedramcore_ram_we[1])
- mem_1[soc_litedramcore_ram_adr][15:8] <= soc_litedramcore_ram_dat_w[15:8];
- if (soc_litedramcore_ram_we[2])
- mem_1[soc_litedramcore_ram_adr][23:16] <= soc_litedramcore_ram_dat_w[23:16];
- if (soc_litedramcore_ram_we[3])
- mem_1[soc_litedramcore_ram_adr][31:24] <= soc_litedramcore_ram_dat_w[31:24];
- memadr <= soc_litedramcore_ram_adr;
-end
-
-assign soc_litedramcore_ram_dat_r = mem_1[memadr];
-
-initial begin
- $readmemh("mem_1.init", mem_1);
-end
-
-reg [9:0] storage[0:15];
-reg [9:0] memdat_1;
-reg [9:0] memdat_2;
-always @(posedge sys_clk) begin
- if (soc_litedramcore_uart_tx_fifo_wrport_we)
- storage[soc_litedramcore_uart_tx_fifo_wrport_adr] <= soc_litedramcore_uart_tx_fifo_wrport_dat_w;
- memdat_1 <= storage[soc_litedramcore_uart_tx_fifo_wrport_adr];
-end
-
-always @(posedge sys_clk) begin
- if (soc_litedramcore_uart_tx_fifo_rdport_re)
- memdat_2 <= storage[soc_litedramcore_uart_tx_fifo_rdport_adr];
-end
-
-assign soc_litedramcore_uart_tx_fifo_wrport_dat_r = memdat_1;
-assign soc_litedramcore_uart_tx_fifo_rdport_dat_r = memdat_2;
-
-reg [9:0] storage_1[0:15];
-reg [9:0] memdat_3;
-reg [9:0] memdat_4;
-always @(posedge sys_clk) begin
- if (soc_litedramcore_uart_rx_fifo_wrport_we)
- storage_1[soc_litedramcore_uart_rx_fifo_wrport_adr] <= soc_litedramcore_uart_rx_fifo_wrport_dat_w;
- memdat_3 <= storage_1[soc_litedramcore_uart_rx_fifo_wrport_adr];
-end
-
-always @(posedge sys_clk) begin
- if (soc_litedramcore_uart_rx_fifo_rdport_re)
- memdat_4 <= storage_1[soc_litedramcore_uart_rx_fifo_rdport_adr];
+ a7ddrphy_half_sys8x_taps_storage <= 5'd8;
+ a7ddrphy_half_sys8x_taps_re <= 1'd0;
+ a7ddrphy_wlevel_en_storage <= 1'd0;
+ a7ddrphy_wlevel_en_re <= 1'd0;
+ a7ddrphy_dly_sel_storage <= 2'd0;
+ a7ddrphy_dly_sel_re <= 1'd0;
+ a7ddrphy_dfi_p0_rddata_valid <= 1'd0;
+ a7ddrphy_dfi_p1_rddata_valid <= 1'd0;
+ a7ddrphy_dfi_p2_rddata_valid <= 1'd0;
+ a7ddrphy_dfi_p3_rddata_valid <= 1'd0;
+ a7ddrphy_dqs_oe_delayed <= 1'd0;
+ a7ddrphy_dqspattern_o1 <= 8'd0;
+ a7ddrphy_dq_oe_delayed <= 1'd0;
+ a7ddrphy_bitslip0_value <= 3'd0;
+ a7ddrphy_bitslip1_value <= 3'd0;
+ a7ddrphy_bitslip2_value <= 3'd0;
+ a7ddrphy_bitslip3_value <= 3'd0;
+ a7ddrphy_bitslip4_value <= 3'd0;
+ a7ddrphy_bitslip5_value <= 3'd0;
+ a7ddrphy_bitslip6_value <= 3'd0;
+ a7ddrphy_bitslip7_value <= 3'd0;
+ a7ddrphy_bitslip8_value <= 3'd0;
+ a7ddrphy_bitslip9_value <= 3'd0;
+ a7ddrphy_bitslip10_value <= 3'd0;
+ a7ddrphy_bitslip11_value <= 3'd0;
+ a7ddrphy_bitslip12_value <= 3'd0;
+ a7ddrphy_bitslip13_value <= 3'd0;
+ a7ddrphy_bitslip14_value <= 3'd0;
+ a7ddrphy_bitslip15_value <= 3'd0;
+ a7ddrphy_rddata_en_last <= 8'd0;
+ a7ddrphy_wrdata_en_last <= 4'd0;
+ litedramcore_storage <= 4'd0;
+ litedramcore_re <= 1'd0;
+ litedramcore_phaseinjector0_command_storage <= 6'd0;
+ litedramcore_phaseinjector0_command_re <= 1'd0;
+ litedramcore_phaseinjector0_address_re <= 1'd0;
+ litedramcore_phaseinjector0_baddress_re <= 1'd0;
+ litedramcore_phaseinjector0_wrdata_re <= 1'd0;
+ litedramcore_phaseinjector0_status <= 32'd0;
+ litedramcore_phaseinjector1_command_storage <= 6'd0;
+ litedramcore_phaseinjector1_command_re <= 1'd0;
+ litedramcore_phaseinjector1_address_re <= 1'd0;
+ litedramcore_phaseinjector1_baddress_re <= 1'd0;
+ litedramcore_phaseinjector1_wrdata_re <= 1'd0;
+ litedramcore_phaseinjector1_status <= 32'd0;
+ litedramcore_phaseinjector2_command_storage <= 6'd0;
+ litedramcore_phaseinjector2_command_re <= 1'd0;
+ litedramcore_phaseinjector2_address_re <= 1'd0;
+ litedramcore_phaseinjector2_baddress_re <= 1'd0;
+ litedramcore_phaseinjector2_wrdata_re <= 1'd0;
+ litedramcore_phaseinjector2_status <= 32'd0;
+ litedramcore_phaseinjector3_command_storage <= 6'd0;
+ litedramcore_phaseinjector3_command_re <= 1'd0;
+ litedramcore_phaseinjector3_address_re <= 1'd0;
+ litedramcore_phaseinjector3_baddress_re <= 1'd0;
+ litedramcore_phaseinjector3_wrdata_re <= 1'd0;
+ litedramcore_phaseinjector3_status <= 32'd0;
+ litedramcore_dfi_p0_address <= 15'd0;
+ litedramcore_dfi_p0_bank <= 3'd0;
+ litedramcore_dfi_p0_cas_n <= 1'd1;
+ litedramcore_dfi_p0_cs_n <= 1'd1;
+ litedramcore_dfi_p0_ras_n <= 1'd1;
+ litedramcore_dfi_p0_we_n <= 1'd1;
+ litedramcore_dfi_p0_wrdata_en <= 1'd0;
+ litedramcore_dfi_p0_rddata_en <= 1'd0;
+ litedramcore_dfi_p1_address <= 15'd0;
+ litedramcore_dfi_p1_bank <= 3'd0;
+ litedramcore_dfi_p1_cas_n <= 1'd1;
+ litedramcore_dfi_p1_cs_n <= 1'd1;
+ litedramcore_dfi_p1_ras_n <= 1'd1;
+ litedramcore_dfi_p1_we_n <= 1'd1;
+ litedramcore_dfi_p1_wrdata_en <= 1'd0;
+ litedramcore_dfi_p1_rddata_en <= 1'd0;
+ litedramcore_dfi_p2_address <= 15'd0;
+ litedramcore_dfi_p2_bank <= 3'd0;
+ litedramcore_dfi_p2_cas_n <= 1'd1;
+ litedramcore_dfi_p2_cs_n <= 1'd1;
+ litedramcore_dfi_p2_ras_n <= 1'd1;
+ litedramcore_dfi_p2_we_n <= 1'd1;
+ litedramcore_dfi_p2_wrdata_en <= 1'd0;
+ litedramcore_dfi_p2_rddata_en <= 1'd0;
+ litedramcore_dfi_p3_address <= 15'd0;
+ litedramcore_dfi_p3_bank <= 3'd0;
+ litedramcore_dfi_p3_cas_n <= 1'd1;
+ litedramcore_dfi_p3_cs_n <= 1'd1;
+ litedramcore_dfi_p3_ras_n <= 1'd1;
+ litedramcore_dfi_p3_we_n <= 1'd1;
+ litedramcore_dfi_p3_wrdata_en <= 1'd0;
+ litedramcore_dfi_p3_rddata_en <= 1'd0;
+ litedramcore_timer_count1 <= 10'd781;
+ litedramcore_postponer_req_o <= 1'd0;
+ litedramcore_postponer_count <= 1'd0;
+ litedramcore_sequencer_done1 <= 1'd0;
+ litedramcore_sequencer_counter <= 6'd0;
+ litedramcore_sequencer_count <= 1'd0;
+ litedramcore_zqcs_timer_count1 <= 27'd99999999;
+ litedramcore_zqcs_executer_done <= 1'd0;
+ litedramcore_zqcs_executer_counter <= 5'd0;
+ litedramcore_bankmachine0_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine0_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine0_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine0_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine0_row <= 15'd0;
+ litedramcore_bankmachine0_row_opened <= 1'd0;
+ litedramcore_bankmachine0_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine0_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine0_trccon_ready <= 1'd1;
+ litedramcore_bankmachine0_trccon_count <= 3'd0;
+ litedramcore_bankmachine0_trascon_ready <= 1'd1;
+ litedramcore_bankmachine0_trascon_count <= 3'd0;
+ litedramcore_bankmachine1_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine1_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine1_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine1_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine1_row <= 15'd0;
+ litedramcore_bankmachine1_row_opened <= 1'd0;
+ litedramcore_bankmachine1_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine1_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine1_trccon_ready <= 1'd1;
+ litedramcore_bankmachine1_trccon_count <= 3'd0;
+ litedramcore_bankmachine1_trascon_ready <= 1'd1;
+ litedramcore_bankmachine1_trascon_count <= 3'd0;
+ litedramcore_bankmachine2_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine2_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine2_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine2_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine2_row <= 15'd0;
+ litedramcore_bankmachine2_row_opened <= 1'd0;
+ litedramcore_bankmachine2_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine2_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine2_trccon_ready <= 1'd1;
+ litedramcore_bankmachine2_trccon_count <= 3'd0;
+ litedramcore_bankmachine2_trascon_ready <= 1'd1;
+ litedramcore_bankmachine2_trascon_count <= 3'd0;
+ litedramcore_bankmachine3_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine3_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine3_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine3_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine3_row <= 15'd0;
+ litedramcore_bankmachine3_row_opened <= 1'd0;
+ litedramcore_bankmachine3_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine3_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine3_trccon_ready <= 1'd1;
+ litedramcore_bankmachine3_trccon_count <= 3'd0;
+ litedramcore_bankmachine3_trascon_ready <= 1'd1;
+ litedramcore_bankmachine3_trascon_count <= 3'd0;
+ litedramcore_bankmachine4_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine4_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine4_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine4_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine4_row <= 15'd0;
+ litedramcore_bankmachine4_row_opened <= 1'd0;
+ litedramcore_bankmachine4_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine4_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine4_trccon_ready <= 1'd1;
+ litedramcore_bankmachine4_trccon_count <= 3'd0;
+ litedramcore_bankmachine4_trascon_ready <= 1'd1;
+ litedramcore_bankmachine4_trascon_count <= 3'd0;
+ litedramcore_bankmachine5_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine5_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine5_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine5_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine5_row <= 15'd0;
+ litedramcore_bankmachine5_row_opened <= 1'd0;
+ litedramcore_bankmachine5_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine5_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine5_trccon_ready <= 1'd1;
+ litedramcore_bankmachine5_trccon_count <= 3'd0;
+ litedramcore_bankmachine5_trascon_ready <= 1'd1;
+ litedramcore_bankmachine5_trascon_count <= 3'd0;
+ litedramcore_bankmachine6_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine6_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine6_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine6_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine6_row <= 15'd0;
+ litedramcore_bankmachine6_row_opened <= 1'd0;
+ litedramcore_bankmachine6_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine6_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine6_trccon_ready <= 1'd1;
+ litedramcore_bankmachine6_trccon_count <= 3'd0;
+ litedramcore_bankmachine6_trascon_ready <= 1'd1;
+ litedramcore_bankmachine6_trascon_count <= 3'd0;
+ litedramcore_bankmachine7_cmd_buffer_lookahead_level <= 5'd0;
+ litedramcore_bankmachine7_cmd_buffer_lookahead_produce <= 4'd0;
+ litedramcore_bankmachine7_cmd_buffer_lookahead_consume <= 4'd0;
+ litedramcore_bankmachine7_cmd_buffer_source_valid <= 1'd0;
+ litedramcore_bankmachine7_row <= 15'd0;
+ litedramcore_bankmachine7_row_opened <= 1'd0;
+ litedramcore_bankmachine7_twtpcon_ready <= 1'd1;
+ litedramcore_bankmachine7_twtpcon_count <= 3'd0;
+ litedramcore_bankmachine7_trccon_ready <= 1'd1;
+ litedramcore_bankmachine7_trccon_count <= 3'd0;
+ litedramcore_bankmachine7_trascon_ready <= 1'd1;
+ litedramcore_bankmachine7_trascon_count <= 3'd0;
+ litedramcore_choose_cmd_grant <= 3'd0;
+ litedramcore_choose_req_grant <= 3'd0;
+ litedramcore_trrdcon_ready <= 1'd1;
+ litedramcore_trrdcon_count <= 1'd0;
+ litedramcore_tfawcon_ready <= 1'd1;
+ litedramcore_tfawcon_window <= 5'd0;
+ litedramcore_tccdcon_ready <= 1'd1;
+ litedramcore_tccdcon_count <= 1'd0;
+ litedramcore_twtrcon_ready <= 1'd1;
+ litedramcore_twtrcon_count <= 3'd0;
+ litedramcore_time0 <= 5'd0;
+ litedramcore_time1 <= 4'd0;
+ init_done_storage <= 1'd0;
+ init_done_re <= 1'd0;
+ init_error_storage <= 1'd0;
+ init_error_re <= 1'd0;
+ refresher_state <= 2'd0;
+ bankmachine0_state <= 4'd0;
+ bankmachine1_state <= 4'd0;
+ bankmachine2_state <= 4'd0;
+ bankmachine3_state <= 4'd0;
+ bankmachine4_state <= 4'd0;
+ bankmachine5_state <= 4'd0;
+ bankmachine6_state <= 4'd0;
+ bankmachine7_state <= 4'd0;
+ multiplexer_state <= 4'd0;
+ new_master_wdata_ready0 <= 1'd0;
+ new_master_wdata_ready1 <= 1'd0;
+ new_master_wdata_ready2 <= 1'd0;
+ new_master_rdata_valid0 <= 1'd0;
+ new_master_rdata_valid1 <= 1'd0;
+ new_master_rdata_valid2 <= 1'd0;
+ new_master_rdata_valid3 <= 1'd0;
+ new_master_rdata_valid4 <= 1'd0;
+ new_master_rdata_valid5 <= 1'd0;
+ new_master_rdata_valid6 <= 1'd0;
+ new_master_rdata_valid7 <= 1'd0;
+ new_master_rdata_valid8 <= 1'd0;
+ end
end
-assign soc_litedramcore_uart_rx_fifo_wrport_dat_r = memdat_3;
-assign soc_litedramcore_uart_rx_fifo_rdport_dat_r = memdat_4;
-
BUFG BUFG(
- .I(soc_s7pll0_clkout0),
- .O(soc_s7pll0_clkout_buf0)
+ .I(s7pll0_clkout0),
+ .O(s7pll0_clkout_buf0)
);
BUFG BUFG_1(
- .I(soc_s7pll0_clkout1),
- .O(soc_s7pll0_clkout_buf1)
+ .I(s7pll0_clkout1),
+ .O(s7pll0_clkout_buf1)
);
BUFG BUFG_2(
- .I(soc_s7pll0_clkout2),
- .O(soc_s7pll0_clkout_buf2)
+ .I(s7pll0_clkout2),
+ .O(s7pll0_clkout_buf2)
);
BUFG BUFG_3(
- .I(soc_s7pll1_clkout),
- .O(soc_s7pll1_clkout_buf)
+ .I(s7pll1_clkout),
+ .O(s7pll1_clkout_buf)
);
IDELAYCTRL IDELAYCTRL(
.REFCLK(iodelay_clk),
- .RST(soc_ic_reset)
+ .RST(ic_reset)
);
OSERDESE2 #(
.D8(1'd1),
.OCE(1'd1),
.RST(sys_rst),
- .OQ(soc_a7ddrphy_sd_clk_se_nodelay)
+ .OQ(a7ddrphy_sd_clk_se_nodelay)
);
OBUFDS OBUFDS(
- .I(soc_a7ddrphy_sd_clk_se_nodelay),
+ .I(a7ddrphy_sd_clk_se_nodelay),
.O(ddram_clk_p),
.OB(ddram_clk_n)
);
) OSERDESE2_1 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[0]),
- .D2(soc_a7ddrphy_dfi_p0_address[0]),
- .D3(soc_a7ddrphy_dfi_p1_address[0]),
- .D4(soc_a7ddrphy_dfi_p1_address[0]),
- .D5(soc_a7ddrphy_dfi_p2_address[0]),
- .D6(soc_a7ddrphy_dfi_p2_address[0]),
- .D7(soc_a7ddrphy_dfi_p3_address[0]),
- .D8(soc_a7ddrphy_dfi_p3_address[0]),
+ .D1(a7ddrphy_dfi_p0_address[0]),
+ .D2(a7ddrphy_dfi_p0_address[0]),
+ .D3(a7ddrphy_dfi_p1_address[0]),
+ .D4(a7ddrphy_dfi_p1_address[0]),
+ .D5(a7ddrphy_dfi_p2_address[0]),
+ .D6(a7ddrphy_dfi_p2_address[0]),
+ .D7(a7ddrphy_dfi_p3_address[0]),
+ .D8(a7ddrphy_dfi_p3_address[0]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[0])
) OSERDESE2_2 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[1]),
- .D2(soc_a7ddrphy_dfi_p0_address[1]),
- .D3(soc_a7ddrphy_dfi_p1_address[1]),
- .D4(soc_a7ddrphy_dfi_p1_address[1]),
- .D5(soc_a7ddrphy_dfi_p2_address[1]),
- .D6(soc_a7ddrphy_dfi_p2_address[1]),
- .D7(soc_a7ddrphy_dfi_p3_address[1]),
- .D8(soc_a7ddrphy_dfi_p3_address[1]),
+ .D1(a7ddrphy_dfi_p0_address[1]),
+ .D2(a7ddrphy_dfi_p0_address[1]),
+ .D3(a7ddrphy_dfi_p1_address[1]),
+ .D4(a7ddrphy_dfi_p1_address[1]),
+ .D5(a7ddrphy_dfi_p2_address[1]),
+ .D6(a7ddrphy_dfi_p2_address[1]),
+ .D7(a7ddrphy_dfi_p3_address[1]),
+ .D8(a7ddrphy_dfi_p3_address[1]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[1])
) OSERDESE2_3 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[2]),
- .D2(soc_a7ddrphy_dfi_p0_address[2]),
- .D3(soc_a7ddrphy_dfi_p1_address[2]),
- .D4(soc_a7ddrphy_dfi_p1_address[2]),
- .D5(soc_a7ddrphy_dfi_p2_address[2]),
- .D6(soc_a7ddrphy_dfi_p2_address[2]),
- .D7(soc_a7ddrphy_dfi_p3_address[2]),
- .D8(soc_a7ddrphy_dfi_p3_address[2]),
+ .D1(a7ddrphy_dfi_p0_address[2]),
+ .D2(a7ddrphy_dfi_p0_address[2]),
+ .D3(a7ddrphy_dfi_p1_address[2]),
+ .D4(a7ddrphy_dfi_p1_address[2]),
+ .D5(a7ddrphy_dfi_p2_address[2]),
+ .D6(a7ddrphy_dfi_p2_address[2]),
+ .D7(a7ddrphy_dfi_p3_address[2]),
+ .D8(a7ddrphy_dfi_p3_address[2]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[2])
) OSERDESE2_4 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[3]),
- .D2(soc_a7ddrphy_dfi_p0_address[3]),
- .D3(soc_a7ddrphy_dfi_p1_address[3]),
- .D4(soc_a7ddrphy_dfi_p1_address[3]),
- .D5(soc_a7ddrphy_dfi_p2_address[3]),
- .D6(soc_a7ddrphy_dfi_p2_address[3]),
- .D7(soc_a7ddrphy_dfi_p3_address[3]),
- .D8(soc_a7ddrphy_dfi_p3_address[3]),
+ .D1(a7ddrphy_dfi_p0_address[3]),
+ .D2(a7ddrphy_dfi_p0_address[3]),
+ .D3(a7ddrphy_dfi_p1_address[3]),
+ .D4(a7ddrphy_dfi_p1_address[3]),
+ .D5(a7ddrphy_dfi_p2_address[3]),
+ .D6(a7ddrphy_dfi_p2_address[3]),
+ .D7(a7ddrphy_dfi_p3_address[3]),
+ .D8(a7ddrphy_dfi_p3_address[3]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[3])
) OSERDESE2_5 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[4]),
- .D2(soc_a7ddrphy_dfi_p0_address[4]),
- .D3(soc_a7ddrphy_dfi_p1_address[4]),
- .D4(soc_a7ddrphy_dfi_p1_address[4]),
- .D5(soc_a7ddrphy_dfi_p2_address[4]),
- .D6(soc_a7ddrphy_dfi_p2_address[4]),
- .D7(soc_a7ddrphy_dfi_p3_address[4]),
- .D8(soc_a7ddrphy_dfi_p3_address[4]),
+ .D1(a7ddrphy_dfi_p0_address[4]),
+ .D2(a7ddrphy_dfi_p0_address[4]),
+ .D3(a7ddrphy_dfi_p1_address[4]),
+ .D4(a7ddrphy_dfi_p1_address[4]),
+ .D5(a7ddrphy_dfi_p2_address[4]),
+ .D6(a7ddrphy_dfi_p2_address[4]),
+ .D7(a7ddrphy_dfi_p3_address[4]),
+ .D8(a7ddrphy_dfi_p3_address[4]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[4])
) OSERDESE2_6 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[5]),
- .D2(soc_a7ddrphy_dfi_p0_address[5]),
- .D3(soc_a7ddrphy_dfi_p1_address[5]),
- .D4(soc_a7ddrphy_dfi_p1_address[5]),
- .D5(soc_a7ddrphy_dfi_p2_address[5]),
- .D6(soc_a7ddrphy_dfi_p2_address[5]),
- .D7(soc_a7ddrphy_dfi_p3_address[5]),
- .D8(soc_a7ddrphy_dfi_p3_address[5]),
+ .D1(a7ddrphy_dfi_p0_address[5]),
+ .D2(a7ddrphy_dfi_p0_address[5]),
+ .D3(a7ddrphy_dfi_p1_address[5]),
+ .D4(a7ddrphy_dfi_p1_address[5]),
+ .D5(a7ddrphy_dfi_p2_address[5]),
+ .D6(a7ddrphy_dfi_p2_address[5]),
+ .D7(a7ddrphy_dfi_p3_address[5]),
+ .D8(a7ddrphy_dfi_p3_address[5]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[5])
) OSERDESE2_7 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[6]),
- .D2(soc_a7ddrphy_dfi_p0_address[6]),
- .D3(soc_a7ddrphy_dfi_p1_address[6]),
- .D4(soc_a7ddrphy_dfi_p1_address[6]),
- .D5(soc_a7ddrphy_dfi_p2_address[6]),
- .D6(soc_a7ddrphy_dfi_p2_address[6]),
- .D7(soc_a7ddrphy_dfi_p3_address[6]),
- .D8(soc_a7ddrphy_dfi_p3_address[6]),
+ .D1(a7ddrphy_dfi_p0_address[6]),
+ .D2(a7ddrphy_dfi_p0_address[6]),
+ .D3(a7ddrphy_dfi_p1_address[6]),
+ .D4(a7ddrphy_dfi_p1_address[6]),
+ .D5(a7ddrphy_dfi_p2_address[6]),
+ .D6(a7ddrphy_dfi_p2_address[6]),
+ .D7(a7ddrphy_dfi_p3_address[6]),
+ .D8(a7ddrphy_dfi_p3_address[6]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[6])
) OSERDESE2_8 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[7]),
- .D2(soc_a7ddrphy_dfi_p0_address[7]),
- .D3(soc_a7ddrphy_dfi_p1_address[7]),
- .D4(soc_a7ddrphy_dfi_p1_address[7]),
- .D5(soc_a7ddrphy_dfi_p2_address[7]),
- .D6(soc_a7ddrphy_dfi_p2_address[7]),
- .D7(soc_a7ddrphy_dfi_p3_address[7]),
- .D8(soc_a7ddrphy_dfi_p3_address[7]),
+ .D1(a7ddrphy_dfi_p0_address[7]),
+ .D2(a7ddrphy_dfi_p0_address[7]),
+ .D3(a7ddrphy_dfi_p1_address[7]),
+ .D4(a7ddrphy_dfi_p1_address[7]),
+ .D5(a7ddrphy_dfi_p2_address[7]),
+ .D6(a7ddrphy_dfi_p2_address[7]),
+ .D7(a7ddrphy_dfi_p3_address[7]),
+ .D8(a7ddrphy_dfi_p3_address[7]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[7])
) OSERDESE2_9 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[8]),
- .D2(soc_a7ddrphy_dfi_p0_address[8]),
- .D3(soc_a7ddrphy_dfi_p1_address[8]),
- .D4(soc_a7ddrphy_dfi_p1_address[8]),
- .D5(soc_a7ddrphy_dfi_p2_address[8]),
- .D6(soc_a7ddrphy_dfi_p2_address[8]),
- .D7(soc_a7ddrphy_dfi_p3_address[8]),
- .D8(soc_a7ddrphy_dfi_p3_address[8]),
+ .D1(a7ddrphy_dfi_p0_address[8]),
+ .D2(a7ddrphy_dfi_p0_address[8]),
+ .D3(a7ddrphy_dfi_p1_address[8]),
+ .D4(a7ddrphy_dfi_p1_address[8]),
+ .D5(a7ddrphy_dfi_p2_address[8]),
+ .D6(a7ddrphy_dfi_p2_address[8]),
+ .D7(a7ddrphy_dfi_p3_address[8]),
+ .D8(a7ddrphy_dfi_p3_address[8]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[8])
) OSERDESE2_10 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[9]),
- .D2(soc_a7ddrphy_dfi_p0_address[9]),
- .D3(soc_a7ddrphy_dfi_p1_address[9]),
- .D4(soc_a7ddrphy_dfi_p1_address[9]),
- .D5(soc_a7ddrphy_dfi_p2_address[9]),
- .D6(soc_a7ddrphy_dfi_p2_address[9]),
- .D7(soc_a7ddrphy_dfi_p3_address[9]),
- .D8(soc_a7ddrphy_dfi_p3_address[9]),
+ .D1(a7ddrphy_dfi_p0_address[9]),
+ .D2(a7ddrphy_dfi_p0_address[9]),
+ .D3(a7ddrphy_dfi_p1_address[9]),
+ .D4(a7ddrphy_dfi_p1_address[9]),
+ .D5(a7ddrphy_dfi_p2_address[9]),
+ .D6(a7ddrphy_dfi_p2_address[9]),
+ .D7(a7ddrphy_dfi_p3_address[9]),
+ .D8(a7ddrphy_dfi_p3_address[9]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[9])
) OSERDESE2_11 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[10]),
- .D2(soc_a7ddrphy_dfi_p0_address[10]),
- .D3(soc_a7ddrphy_dfi_p1_address[10]),
- .D4(soc_a7ddrphy_dfi_p1_address[10]),
- .D5(soc_a7ddrphy_dfi_p2_address[10]),
- .D6(soc_a7ddrphy_dfi_p2_address[10]),
- .D7(soc_a7ddrphy_dfi_p3_address[10]),
- .D8(soc_a7ddrphy_dfi_p3_address[10]),
+ .D1(a7ddrphy_dfi_p0_address[10]),
+ .D2(a7ddrphy_dfi_p0_address[10]),
+ .D3(a7ddrphy_dfi_p1_address[10]),
+ .D4(a7ddrphy_dfi_p1_address[10]),
+ .D5(a7ddrphy_dfi_p2_address[10]),
+ .D6(a7ddrphy_dfi_p2_address[10]),
+ .D7(a7ddrphy_dfi_p3_address[10]),
+ .D8(a7ddrphy_dfi_p3_address[10]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[10])
) OSERDESE2_12 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[11]),
- .D2(soc_a7ddrphy_dfi_p0_address[11]),
- .D3(soc_a7ddrphy_dfi_p1_address[11]),
- .D4(soc_a7ddrphy_dfi_p1_address[11]),
- .D5(soc_a7ddrphy_dfi_p2_address[11]),
- .D6(soc_a7ddrphy_dfi_p2_address[11]),
- .D7(soc_a7ddrphy_dfi_p3_address[11]),
- .D8(soc_a7ddrphy_dfi_p3_address[11]),
+ .D1(a7ddrphy_dfi_p0_address[11]),
+ .D2(a7ddrphy_dfi_p0_address[11]),
+ .D3(a7ddrphy_dfi_p1_address[11]),
+ .D4(a7ddrphy_dfi_p1_address[11]),
+ .D5(a7ddrphy_dfi_p2_address[11]),
+ .D6(a7ddrphy_dfi_p2_address[11]),
+ .D7(a7ddrphy_dfi_p3_address[11]),
+ .D8(a7ddrphy_dfi_p3_address[11]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[11])
) OSERDESE2_13 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[12]),
- .D2(soc_a7ddrphy_dfi_p0_address[12]),
- .D3(soc_a7ddrphy_dfi_p1_address[12]),
- .D4(soc_a7ddrphy_dfi_p1_address[12]),
- .D5(soc_a7ddrphy_dfi_p2_address[12]),
- .D6(soc_a7ddrphy_dfi_p2_address[12]),
- .D7(soc_a7ddrphy_dfi_p3_address[12]),
- .D8(soc_a7ddrphy_dfi_p3_address[12]),
+ .D1(a7ddrphy_dfi_p0_address[12]),
+ .D2(a7ddrphy_dfi_p0_address[12]),
+ .D3(a7ddrphy_dfi_p1_address[12]),
+ .D4(a7ddrphy_dfi_p1_address[12]),
+ .D5(a7ddrphy_dfi_p2_address[12]),
+ .D6(a7ddrphy_dfi_p2_address[12]),
+ .D7(a7ddrphy_dfi_p3_address[12]),
+ .D8(a7ddrphy_dfi_p3_address[12]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[12])
) OSERDESE2_14 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[13]),
- .D2(soc_a7ddrphy_dfi_p0_address[13]),
- .D3(soc_a7ddrphy_dfi_p1_address[13]),
- .D4(soc_a7ddrphy_dfi_p1_address[13]),
- .D5(soc_a7ddrphy_dfi_p2_address[13]),
- .D6(soc_a7ddrphy_dfi_p2_address[13]),
- .D7(soc_a7ddrphy_dfi_p3_address[13]),
- .D8(soc_a7ddrphy_dfi_p3_address[13]),
+ .D1(a7ddrphy_dfi_p0_address[13]),
+ .D2(a7ddrphy_dfi_p0_address[13]),
+ .D3(a7ddrphy_dfi_p1_address[13]),
+ .D4(a7ddrphy_dfi_p1_address[13]),
+ .D5(a7ddrphy_dfi_p2_address[13]),
+ .D6(a7ddrphy_dfi_p2_address[13]),
+ .D7(a7ddrphy_dfi_p3_address[13]),
+ .D8(a7ddrphy_dfi_p3_address[13]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[13])
) OSERDESE2_15 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_address[14]),
- .D2(soc_a7ddrphy_dfi_p0_address[14]),
- .D3(soc_a7ddrphy_dfi_p1_address[14]),
- .D4(soc_a7ddrphy_dfi_p1_address[14]),
- .D5(soc_a7ddrphy_dfi_p2_address[14]),
- .D6(soc_a7ddrphy_dfi_p2_address[14]),
- .D7(soc_a7ddrphy_dfi_p3_address[14]),
- .D8(soc_a7ddrphy_dfi_p3_address[14]),
+ .D1(a7ddrphy_dfi_p0_address[14]),
+ .D2(a7ddrphy_dfi_p0_address[14]),
+ .D3(a7ddrphy_dfi_p1_address[14]),
+ .D4(a7ddrphy_dfi_p1_address[14]),
+ .D5(a7ddrphy_dfi_p2_address[14]),
+ .D6(a7ddrphy_dfi_p2_address[14]),
+ .D7(a7ddrphy_dfi_p3_address[14]),
+ .D8(a7ddrphy_dfi_p3_address[14]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_a[14])
) OSERDESE2_16 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_bank[0]),
- .D2(soc_a7ddrphy_dfi_p0_bank[0]),
- .D3(soc_a7ddrphy_dfi_p1_bank[0]),
- .D4(soc_a7ddrphy_dfi_p1_bank[0]),
- .D5(soc_a7ddrphy_dfi_p2_bank[0]),
- .D6(soc_a7ddrphy_dfi_p2_bank[0]),
- .D7(soc_a7ddrphy_dfi_p3_bank[0]),
- .D8(soc_a7ddrphy_dfi_p3_bank[0]),
+ .D1(a7ddrphy_dfi_p0_bank[0]),
+ .D2(a7ddrphy_dfi_p0_bank[0]),
+ .D3(a7ddrphy_dfi_p1_bank[0]),
+ .D4(a7ddrphy_dfi_p1_bank[0]),
+ .D5(a7ddrphy_dfi_p2_bank[0]),
+ .D6(a7ddrphy_dfi_p2_bank[0]),
+ .D7(a7ddrphy_dfi_p3_bank[0]),
+ .D8(a7ddrphy_dfi_p3_bank[0]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ba[0])
) OSERDESE2_17 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_bank[1]),
- .D2(soc_a7ddrphy_dfi_p0_bank[1]),
- .D3(soc_a7ddrphy_dfi_p1_bank[1]),
- .D4(soc_a7ddrphy_dfi_p1_bank[1]),
- .D5(soc_a7ddrphy_dfi_p2_bank[1]),
- .D6(soc_a7ddrphy_dfi_p2_bank[1]),
- .D7(soc_a7ddrphy_dfi_p3_bank[1]),
- .D8(soc_a7ddrphy_dfi_p3_bank[1]),
+ .D1(a7ddrphy_dfi_p0_bank[1]),
+ .D2(a7ddrphy_dfi_p0_bank[1]),
+ .D3(a7ddrphy_dfi_p1_bank[1]),
+ .D4(a7ddrphy_dfi_p1_bank[1]),
+ .D5(a7ddrphy_dfi_p2_bank[1]),
+ .D6(a7ddrphy_dfi_p2_bank[1]),
+ .D7(a7ddrphy_dfi_p3_bank[1]),
+ .D8(a7ddrphy_dfi_p3_bank[1]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ba[1])
) OSERDESE2_18 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_bank[2]),
- .D2(soc_a7ddrphy_dfi_p0_bank[2]),
- .D3(soc_a7ddrphy_dfi_p1_bank[2]),
- .D4(soc_a7ddrphy_dfi_p1_bank[2]),
- .D5(soc_a7ddrphy_dfi_p2_bank[2]),
- .D6(soc_a7ddrphy_dfi_p2_bank[2]),
- .D7(soc_a7ddrphy_dfi_p3_bank[2]),
- .D8(soc_a7ddrphy_dfi_p3_bank[2]),
+ .D1(a7ddrphy_dfi_p0_bank[2]),
+ .D2(a7ddrphy_dfi_p0_bank[2]),
+ .D3(a7ddrphy_dfi_p1_bank[2]),
+ .D4(a7ddrphy_dfi_p1_bank[2]),
+ .D5(a7ddrphy_dfi_p2_bank[2]),
+ .D6(a7ddrphy_dfi_p2_bank[2]),
+ .D7(a7ddrphy_dfi_p3_bank[2]),
+ .D8(a7ddrphy_dfi_p3_bank[2]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ba[2])
) OSERDESE2_19 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_ras_n),
- .D2(soc_a7ddrphy_dfi_p0_ras_n),
- .D3(soc_a7ddrphy_dfi_p1_ras_n),
- .D4(soc_a7ddrphy_dfi_p1_ras_n),
- .D5(soc_a7ddrphy_dfi_p2_ras_n),
- .D6(soc_a7ddrphy_dfi_p2_ras_n),
- .D7(soc_a7ddrphy_dfi_p3_ras_n),
- .D8(soc_a7ddrphy_dfi_p3_ras_n),
+ .D1(a7ddrphy_dfi_p0_ras_n),
+ .D2(a7ddrphy_dfi_p0_ras_n),
+ .D3(a7ddrphy_dfi_p1_ras_n),
+ .D4(a7ddrphy_dfi_p1_ras_n),
+ .D5(a7ddrphy_dfi_p2_ras_n),
+ .D6(a7ddrphy_dfi_p2_ras_n),
+ .D7(a7ddrphy_dfi_p3_ras_n),
+ .D8(a7ddrphy_dfi_p3_ras_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_ras_n)
) OSERDESE2_20 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_cas_n),
- .D2(soc_a7ddrphy_dfi_p0_cas_n),
- .D3(soc_a7ddrphy_dfi_p1_cas_n),
- .D4(soc_a7ddrphy_dfi_p1_cas_n),
- .D5(soc_a7ddrphy_dfi_p2_cas_n),
- .D6(soc_a7ddrphy_dfi_p2_cas_n),
- .D7(soc_a7ddrphy_dfi_p3_cas_n),
- .D8(soc_a7ddrphy_dfi_p3_cas_n),
+ .D1(a7ddrphy_dfi_p0_cas_n),
+ .D2(a7ddrphy_dfi_p0_cas_n),
+ .D3(a7ddrphy_dfi_p1_cas_n),
+ .D4(a7ddrphy_dfi_p1_cas_n),
+ .D5(a7ddrphy_dfi_p2_cas_n),
+ .D6(a7ddrphy_dfi_p2_cas_n),
+ .D7(a7ddrphy_dfi_p3_cas_n),
+ .D8(a7ddrphy_dfi_p3_cas_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_cas_n)
) OSERDESE2_21 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_we_n),
- .D2(soc_a7ddrphy_dfi_p0_we_n),
- .D3(soc_a7ddrphy_dfi_p1_we_n),
- .D4(soc_a7ddrphy_dfi_p1_we_n),
- .D5(soc_a7ddrphy_dfi_p2_we_n),
- .D6(soc_a7ddrphy_dfi_p2_we_n),
- .D7(soc_a7ddrphy_dfi_p3_we_n),
- .D8(soc_a7ddrphy_dfi_p3_we_n),
+ .D1(a7ddrphy_dfi_p0_we_n),
+ .D2(a7ddrphy_dfi_p0_we_n),
+ .D3(a7ddrphy_dfi_p1_we_n),
+ .D4(a7ddrphy_dfi_p1_we_n),
+ .D5(a7ddrphy_dfi_p2_we_n),
+ .D6(a7ddrphy_dfi_p2_we_n),
+ .D7(a7ddrphy_dfi_p3_we_n),
+ .D8(a7ddrphy_dfi_p3_we_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_we_n)
) OSERDESE2_22 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_cke),
- .D2(soc_a7ddrphy_dfi_p0_cke),
- .D3(soc_a7ddrphy_dfi_p1_cke),
- .D4(soc_a7ddrphy_dfi_p1_cke),
- .D5(soc_a7ddrphy_dfi_p2_cke),
- .D6(soc_a7ddrphy_dfi_p2_cke),
- .D7(soc_a7ddrphy_dfi_p3_cke),
- .D8(soc_a7ddrphy_dfi_p3_cke),
+ .D1(a7ddrphy_dfi_p0_cke),
+ .D2(a7ddrphy_dfi_p0_cke),
+ .D3(a7ddrphy_dfi_p1_cke),
+ .D4(a7ddrphy_dfi_p1_cke),
+ .D5(a7ddrphy_dfi_p2_cke),
+ .D6(a7ddrphy_dfi_p2_cke),
+ .D7(a7ddrphy_dfi_p3_cke),
+ .D8(a7ddrphy_dfi_p3_cke),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_cke)
) OSERDESE2_23 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_odt),
- .D2(soc_a7ddrphy_dfi_p0_odt),
- .D3(soc_a7ddrphy_dfi_p1_odt),
- .D4(soc_a7ddrphy_dfi_p1_odt),
- .D5(soc_a7ddrphy_dfi_p2_odt),
- .D6(soc_a7ddrphy_dfi_p2_odt),
- .D7(soc_a7ddrphy_dfi_p3_odt),
- .D8(soc_a7ddrphy_dfi_p3_odt),
+ .D1(a7ddrphy_dfi_p0_odt),
+ .D2(a7ddrphy_dfi_p0_odt),
+ .D3(a7ddrphy_dfi_p1_odt),
+ .D4(a7ddrphy_dfi_p1_odt),
+ .D5(a7ddrphy_dfi_p2_odt),
+ .D6(a7ddrphy_dfi_p2_odt),
+ .D7(a7ddrphy_dfi_p3_odt),
+ .D8(a7ddrphy_dfi_p3_odt),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_odt)
) OSERDESE2_24 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_reset_n),
- .D2(soc_a7ddrphy_dfi_p0_reset_n),
- .D3(soc_a7ddrphy_dfi_p1_reset_n),
- .D4(soc_a7ddrphy_dfi_p1_reset_n),
- .D5(soc_a7ddrphy_dfi_p2_reset_n),
- .D6(soc_a7ddrphy_dfi_p2_reset_n),
- .D7(soc_a7ddrphy_dfi_p3_reset_n),
- .D8(soc_a7ddrphy_dfi_p3_reset_n),
+ .D1(a7ddrphy_dfi_p0_reset_n),
+ .D2(a7ddrphy_dfi_p0_reset_n),
+ .D3(a7ddrphy_dfi_p1_reset_n),
+ .D4(a7ddrphy_dfi_p1_reset_n),
+ .D5(a7ddrphy_dfi_p2_reset_n),
+ .D6(a7ddrphy_dfi_p2_reset_n),
+ .D7(a7ddrphy_dfi_p3_reset_n),
+ .D8(a7ddrphy_dfi_p3_reset_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_reset_n)
) OSERDESE2_25 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_cs_n),
- .D2(soc_a7ddrphy_dfi_p0_cs_n),
- .D3(soc_a7ddrphy_dfi_p1_cs_n),
- .D4(soc_a7ddrphy_dfi_p1_cs_n),
- .D5(soc_a7ddrphy_dfi_p2_cs_n),
- .D6(soc_a7ddrphy_dfi_p2_cs_n),
- .D7(soc_a7ddrphy_dfi_p3_cs_n),
- .D8(soc_a7ddrphy_dfi_p3_cs_n),
+ .D1(a7ddrphy_dfi_p0_cs_n),
+ .D2(a7ddrphy_dfi_p0_cs_n),
+ .D3(a7ddrphy_dfi_p1_cs_n),
+ .D4(a7ddrphy_dfi_p1_cs_n),
+ .D5(a7ddrphy_dfi_p2_cs_n),
+ .D6(a7ddrphy_dfi_p2_cs_n),
+ .D7(a7ddrphy_dfi_p3_cs_n),
+ .D8(a7ddrphy_dfi_p3_cs_n),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_cs_n)
) OSERDESE2_26 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata_mask[0]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata_mask[2]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata_mask[0]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata_mask[2]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata_mask[0]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata_mask[2]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata_mask[0]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata_mask[2]),
+ .D1(a7ddrphy_dfi_p0_wrdata_mask[0]),
+ .D2(a7ddrphy_dfi_p0_wrdata_mask[2]),
+ .D3(a7ddrphy_dfi_p1_wrdata_mask[0]),
+ .D4(a7ddrphy_dfi_p1_wrdata_mask[2]),
+ .D5(a7ddrphy_dfi_p2_wrdata_mask[0]),
+ .D6(a7ddrphy_dfi_p2_wrdata_mask[2]),
+ .D7(a7ddrphy_dfi_p3_wrdata_mask[0]),
+ .D8(a7ddrphy_dfi_p3_wrdata_mask[2]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_dm[0])
) OSERDESE2_27 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata_mask[1]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata_mask[3]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata_mask[1]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata_mask[3]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata_mask[1]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata_mask[3]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata_mask[1]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata_mask[3]),
+ .D1(a7ddrphy_dfi_p0_wrdata_mask[1]),
+ .D2(a7ddrphy_dfi_p0_wrdata_mask[3]),
+ .D3(a7ddrphy_dfi_p1_wrdata_mask[1]),
+ .D4(a7ddrphy_dfi_p1_wrdata_mask[3]),
+ .D5(a7ddrphy_dfi_p2_wrdata_mask[1]),
+ .D6(a7ddrphy_dfi_p2_wrdata_mask[3]),
+ .D7(a7ddrphy_dfi_p3_wrdata_mask[1]),
+ .D8(a7ddrphy_dfi_p3_wrdata_mask[3]),
.OCE(1'd1),
.RST(sys_rst),
.OQ(ddram_dm[1])
) OSERDESE2_28 (
.CLK(sys4x_dqs_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dqspattern_o1[0]),
- .D2(soc_a7ddrphy_dqspattern_o1[1]),
- .D3(soc_a7ddrphy_dqspattern_o1[2]),
- .D4(soc_a7ddrphy_dqspattern_o1[3]),
- .D5(soc_a7ddrphy_dqspattern_o1[4]),
- .D6(soc_a7ddrphy_dqspattern_o1[5]),
- .D7(soc_a7ddrphy_dqspattern_o1[6]),
- .D8(soc_a7ddrphy_dqspattern_o1[7]),
+ .D1(a7ddrphy_dqspattern_o1[0]),
+ .D2(a7ddrphy_dqspattern_o1[1]),
+ .D3(a7ddrphy_dqspattern_o1[2]),
+ .D4(a7ddrphy_dqspattern_o1[3]),
+ .D5(a7ddrphy_dqspattern_o1[4]),
+ .D6(a7ddrphy_dqspattern_o1[5]),
+ .D7(a7ddrphy_dqspattern_o1[6]),
+ .D8(a7ddrphy_dqspattern_o1[7]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dqs_oe_delayed)),
+ .T1((~a7ddrphy_dqs_oe_delayed)),
.TCE(1'd1),
- .OFB(soc_a7ddrphy0),
- .OQ(soc_a7ddrphy_dqs_o_no_delay0),
- .TQ(soc_a7ddrphy_dqs_t0)
+ .OFB(a7ddrphy0),
+ .OQ(a7ddrphy_dqs_o_no_delay0),
+ .TQ(a7ddrphy_dqs_t0)
);
IDELAYE2 #(
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2 (
- .IDATAIN(soc_a7ddrphy_dqs_i[0]),
- .DATAOUT(soc_a7ddrphy_dqs_i_delayed[0])
+ .IDATAIN(a7ddrphy_dqs_i[0]),
+ .DATAOUT(a7ddrphy_dqs_i_delayed[0])
);
IOBUFDS IOBUFDS(
- .I(soc_a7ddrphy_dqs_o_no_delay0),
- .T(soc_a7ddrphy_dqs_t0),
+ .I(a7ddrphy_dqs_o_no_delay0),
+ .T(a7ddrphy_dqs_t0),
.IO(ddram_dqs_p[0]),
.IOB(ddram_dqs_n[0]),
- .O(soc_a7ddrphy_dqs_i[0])
+ .O(a7ddrphy_dqs_i[0])
);
OSERDESE2 #(
) OSERDESE2_29 (
.CLK(sys4x_dqs_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dqspattern_o1[0]),
- .D2(soc_a7ddrphy_dqspattern_o1[1]),
- .D3(soc_a7ddrphy_dqspattern_o1[2]),
- .D4(soc_a7ddrphy_dqspattern_o1[3]),
- .D5(soc_a7ddrphy_dqspattern_o1[4]),
- .D6(soc_a7ddrphy_dqspattern_o1[5]),
- .D7(soc_a7ddrphy_dqspattern_o1[6]),
- .D8(soc_a7ddrphy_dqspattern_o1[7]),
+ .D1(a7ddrphy_dqspattern_o1[0]),
+ .D2(a7ddrphy_dqspattern_o1[1]),
+ .D3(a7ddrphy_dqspattern_o1[2]),
+ .D4(a7ddrphy_dqspattern_o1[3]),
+ .D5(a7ddrphy_dqspattern_o1[4]),
+ .D6(a7ddrphy_dqspattern_o1[5]),
+ .D7(a7ddrphy_dqspattern_o1[6]),
+ .D8(a7ddrphy_dqspattern_o1[7]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dqs_oe_delayed)),
+ .T1((~a7ddrphy_dqs_oe_delayed)),
.TCE(1'd1),
- .OFB(soc_a7ddrphy1),
- .OQ(soc_a7ddrphy_dqs_o_no_delay1),
- .TQ(soc_a7ddrphy_dqs_t1)
+ .OFB(a7ddrphy1),
+ .OQ(a7ddrphy_dqs_o_no_delay1),
+ .TQ(a7ddrphy_dqs_t1)
);
IDELAYE2 #(
.REFCLK_FREQUENCY(200.0),
.SIGNAL_PATTERN("DATA")
) IDELAYE2_1 (
- .IDATAIN(soc_a7ddrphy_dqs_i[1]),
- .DATAOUT(soc_a7ddrphy_dqs_i_delayed[1])
+ .IDATAIN(a7ddrphy_dqs_i[1]),
+ .DATAOUT(a7ddrphy_dqs_i_delayed[1])
);
IOBUFDS IOBUFDS_1(
- .I(soc_a7ddrphy_dqs_o_no_delay1),
- .T(soc_a7ddrphy_dqs_t1),
+ .I(a7ddrphy_dqs_o_no_delay1),
+ .T(a7ddrphy_dqs_t1),
.IO(ddram_dqs_p[1]),
.IOB(ddram_dqs_n[1]),
- .O(soc_a7ddrphy_dqs_i[1])
+ .O(a7ddrphy_dqs_i[1])
);
OSERDESE2 #(
) OSERDESE2_30 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[0]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[16]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[0]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[16]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[0]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[16]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[0]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[16]),
+ .D1(a7ddrphy_dfi_p0_wrdata[0]),
+ .D2(a7ddrphy_dfi_p0_wrdata[16]),
+ .D3(a7ddrphy_dfi_p1_wrdata[0]),
+ .D4(a7ddrphy_dfi_p1_wrdata[16]),
+ .D5(a7ddrphy_dfi_p2_wrdata[0]),
+ .D6(a7ddrphy_dfi_p2_wrdata[16]),
+ .D7(a7ddrphy_dfi_p3_wrdata[0]),
+ .D8(a7ddrphy_dfi_p3_wrdata[16]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay0),
- .TQ(soc_a7ddrphy_dq_t0)
+ .OQ(a7ddrphy_dq_o_nodelay0),
+ .TQ(a7ddrphy_dq_t0)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed0),
+ .DDLY(a7ddrphy_dq_i_delayed0),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data0[7]),
- .Q2(soc_a7ddrphy_dq_i_data0[6]),
- .Q3(soc_a7ddrphy_dq_i_data0[5]),
- .Q4(soc_a7ddrphy_dq_i_data0[4]),
- .Q5(soc_a7ddrphy_dq_i_data0[3]),
- .Q6(soc_a7ddrphy_dq_i_data0[2]),
- .Q7(soc_a7ddrphy_dq_i_data0[1]),
- .Q8(soc_a7ddrphy_dq_i_data0[0])
+ .Q1(a7ddrphy_dq_i_data0[7]),
+ .Q2(a7ddrphy_dq_i_data0[6]),
+ .Q3(a7ddrphy_dq_i_data0[5]),
+ .Q4(a7ddrphy_dq_i_data0[4]),
+ .Q5(a7ddrphy_dq_i_data0[3]),
+ .Q6(a7ddrphy_dq_i_data0[2]),
+ .Q7(a7ddrphy_dq_i_data0[1]),
+ .Q8(a7ddrphy_dq_i_data0[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_2 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay0),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay0),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed0)
+ .DATAOUT(a7ddrphy_dq_i_delayed0)
);
IOBUF IOBUF(
- .I(soc_a7ddrphy_dq_o_nodelay0),
- .T(soc_a7ddrphy_dq_t0),
+ .I(a7ddrphy_dq_o_nodelay0),
+ .T(a7ddrphy_dq_t0),
.IO(ddram_dq[0]),
- .O(soc_a7ddrphy_dq_i_nodelay0)
+ .O(a7ddrphy_dq_i_nodelay0)
);
OSERDESE2 #(
) OSERDESE2_31 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[1]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[17]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[1]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[17]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[1]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[17]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[1]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[17]),
+ .D1(a7ddrphy_dfi_p0_wrdata[1]),
+ .D2(a7ddrphy_dfi_p0_wrdata[17]),
+ .D3(a7ddrphy_dfi_p1_wrdata[1]),
+ .D4(a7ddrphy_dfi_p1_wrdata[17]),
+ .D5(a7ddrphy_dfi_p2_wrdata[1]),
+ .D6(a7ddrphy_dfi_p2_wrdata[17]),
+ .D7(a7ddrphy_dfi_p3_wrdata[1]),
+ .D8(a7ddrphy_dfi_p3_wrdata[17]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay1),
- .TQ(soc_a7ddrphy_dq_t1)
+ .OQ(a7ddrphy_dq_o_nodelay1),
+ .TQ(a7ddrphy_dq_t1)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed1),
+ .DDLY(a7ddrphy_dq_i_delayed1),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data1[7]),
- .Q2(soc_a7ddrphy_dq_i_data1[6]),
- .Q3(soc_a7ddrphy_dq_i_data1[5]),
- .Q4(soc_a7ddrphy_dq_i_data1[4]),
- .Q5(soc_a7ddrphy_dq_i_data1[3]),
- .Q6(soc_a7ddrphy_dq_i_data1[2]),
- .Q7(soc_a7ddrphy_dq_i_data1[1]),
- .Q8(soc_a7ddrphy_dq_i_data1[0])
+ .Q1(a7ddrphy_dq_i_data1[7]),
+ .Q2(a7ddrphy_dq_i_data1[6]),
+ .Q3(a7ddrphy_dq_i_data1[5]),
+ .Q4(a7ddrphy_dq_i_data1[4]),
+ .Q5(a7ddrphy_dq_i_data1[3]),
+ .Q6(a7ddrphy_dq_i_data1[2]),
+ .Q7(a7ddrphy_dq_i_data1[1]),
+ .Q8(a7ddrphy_dq_i_data1[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_3 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay1),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay1),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed1)
+ .DATAOUT(a7ddrphy_dq_i_delayed1)
);
IOBUF IOBUF_1(
- .I(soc_a7ddrphy_dq_o_nodelay1),
- .T(soc_a7ddrphy_dq_t1),
+ .I(a7ddrphy_dq_o_nodelay1),
+ .T(a7ddrphy_dq_t1),
.IO(ddram_dq[1]),
- .O(soc_a7ddrphy_dq_i_nodelay1)
+ .O(a7ddrphy_dq_i_nodelay1)
);
OSERDESE2 #(
) OSERDESE2_32 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[2]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[18]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[2]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[18]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[2]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[18]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[2]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[18]),
+ .D1(a7ddrphy_dfi_p0_wrdata[2]),
+ .D2(a7ddrphy_dfi_p0_wrdata[18]),
+ .D3(a7ddrphy_dfi_p1_wrdata[2]),
+ .D4(a7ddrphy_dfi_p1_wrdata[18]),
+ .D5(a7ddrphy_dfi_p2_wrdata[2]),
+ .D6(a7ddrphy_dfi_p2_wrdata[18]),
+ .D7(a7ddrphy_dfi_p3_wrdata[2]),
+ .D8(a7ddrphy_dfi_p3_wrdata[18]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay2),
- .TQ(soc_a7ddrphy_dq_t2)
+ .OQ(a7ddrphy_dq_o_nodelay2),
+ .TQ(a7ddrphy_dq_t2)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed2),
+ .DDLY(a7ddrphy_dq_i_delayed2),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data2[7]),
- .Q2(soc_a7ddrphy_dq_i_data2[6]),
- .Q3(soc_a7ddrphy_dq_i_data2[5]),
- .Q4(soc_a7ddrphy_dq_i_data2[4]),
- .Q5(soc_a7ddrphy_dq_i_data2[3]),
- .Q6(soc_a7ddrphy_dq_i_data2[2]),
- .Q7(soc_a7ddrphy_dq_i_data2[1]),
- .Q8(soc_a7ddrphy_dq_i_data2[0])
+ .Q1(a7ddrphy_dq_i_data2[7]),
+ .Q2(a7ddrphy_dq_i_data2[6]),
+ .Q3(a7ddrphy_dq_i_data2[5]),
+ .Q4(a7ddrphy_dq_i_data2[4]),
+ .Q5(a7ddrphy_dq_i_data2[3]),
+ .Q6(a7ddrphy_dq_i_data2[2]),
+ .Q7(a7ddrphy_dq_i_data2[1]),
+ .Q8(a7ddrphy_dq_i_data2[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_4 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay2),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay2),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed2)
+ .DATAOUT(a7ddrphy_dq_i_delayed2)
);
IOBUF IOBUF_2(
- .I(soc_a7ddrphy_dq_o_nodelay2),
- .T(soc_a7ddrphy_dq_t2),
+ .I(a7ddrphy_dq_o_nodelay2),
+ .T(a7ddrphy_dq_t2),
.IO(ddram_dq[2]),
- .O(soc_a7ddrphy_dq_i_nodelay2)
+ .O(a7ddrphy_dq_i_nodelay2)
);
OSERDESE2 #(
) OSERDESE2_33 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[3]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[19]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[3]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[19]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[3]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[19]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[3]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[19]),
+ .D1(a7ddrphy_dfi_p0_wrdata[3]),
+ .D2(a7ddrphy_dfi_p0_wrdata[19]),
+ .D3(a7ddrphy_dfi_p1_wrdata[3]),
+ .D4(a7ddrphy_dfi_p1_wrdata[19]),
+ .D5(a7ddrphy_dfi_p2_wrdata[3]),
+ .D6(a7ddrphy_dfi_p2_wrdata[19]),
+ .D7(a7ddrphy_dfi_p3_wrdata[3]),
+ .D8(a7ddrphy_dfi_p3_wrdata[19]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay3),
- .TQ(soc_a7ddrphy_dq_t3)
+ .OQ(a7ddrphy_dq_o_nodelay3),
+ .TQ(a7ddrphy_dq_t3)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed3),
+ .DDLY(a7ddrphy_dq_i_delayed3),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data3[7]),
- .Q2(soc_a7ddrphy_dq_i_data3[6]),
- .Q3(soc_a7ddrphy_dq_i_data3[5]),
- .Q4(soc_a7ddrphy_dq_i_data3[4]),
- .Q5(soc_a7ddrphy_dq_i_data3[3]),
- .Q6(soc_a7ddrphy_dq_i_data3[2]),
- .Q7(soc_a7ddrphy_dq_i_data3[1]),
- .Q8(soc_a7ddrphy_dq_i_data3[0])
+ .Q1(a7ddrphy_dq_i_data3[7]),
+ .Q2(a7ddrphy_dq_i_data3[6]),
+ .Q3(a7ddrphy_dq_i_data3[5]),
+ .Q4(a7ddrphy_dq_i_data3[4]),
+ .Q5(a7ddrphy_dq_i_data3[3]),
+ .Q6(a7ddrphy_dq_i_data3[2]),
+ .Q7(a7ddrphy_dq_i_data3[1]),
+ .Q8(a7ddrphy_dq_i_data3[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_5 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay3),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay3),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed3)
+ .DATAOUT(a7ddrphy_dq_i_delayed3)
);
IOBUF IOBUF_3(
- .I(soc_a7ddrphy_dq_o_nodelay3),
- .T(soc_a7ddrphy_dq_t3),
+ .I(a7ddrphy_dq_o_nodelay3),
+ .T(a7ddrphy_dq_t3),
.IO(ddram_dq[3]),
- .O(soc_a7ddrphy_dq_i_nodelay3)
+ .O(a7ddrphy_dq_i_nodelay3)
);
OSERDESE2 #(
) OSERDESE2_34 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[4]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[20]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[4]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[20]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[4]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[20]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[4]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[20]),
+ .D1(a7ddrphy_dfi_p0_wrdata[4]),
+ .D2(a7ddrphy_dfi_p0_wrdata[20]),
+ .D3(a7ddrphy_dfi_p1_wrdata[4]),
+ .D4(a7ddrphy_dfi_p1_wrdata[20]),
+ .D5(a7ddrphy_dfi_p2_wrdata[4]),
+ .D6(a7ddrphy_dfi_p2_wrdata[20]),
+ .D7(a7ddrphy_dfi_p3_wrdata[4]),
+ .D8(a7ddrphy_dfi_p3_wrdata[20]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay4),
- .TQ(soc_a7ddrphy_dq_t4)
+ .OQ(a7ddrphy_dq_o_nodelay4),
+ .TQ(a7ddrphy_dq_t4)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed4),
+ .DDLY(a7ddrphy_dq_i_delayed4),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data4[7]),
- .Q2(soc_a7ddrphy_dq_i_data4[6]),
- .Q3(soc_a7ddrphy_dq_i_data4[5]),
- .Q4(soc_a7ddrphy_dq_i_data4[4]),
- .Q5(soc_a7ddrphy_dq_i_data4[3]),
- .Q6(soc_a7ddrphy_dq_i_data4[2]),
- .Q7(soc_a7ddrphy_dq_i_data4[1]),
- .Q8(soc_a7ddrphy_dq_i_data4[0])
+ .Q1(a7ddrphy_dq_i_data4[7]),
+ .Q2(a7ddrphy_dq_i_data4[6]),
+ .Q3(a7ddrphy_dq_i_data4[5]),
+ .Q4(a7ddrphy_dq_i_data4[4]),
+ .Q5(a7ddrphy_dq_i_data4[3]),
+ .Q6(a7ddrphy_dq_i_data4[2]),
+ .Q7(a7ddrphy_dq_i_data4[1]),
+ .Q8(a7ddrphy_dq_i_data4[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_6 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay4),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay4),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed4)
+ .DATAOUT(a7ddrphy_dq_i_delayed4)
);
IOBUF IOBUF_4(
- .I(soc_a7ddrphy_dq_o_nodelay4),
- .T(soc_a7ddrphy_dq_t4),
+ .I(a7ddrphy_dq_o_nodelay4),
+ .T(a7ddrphy_dq_t4),
.IO(ddram_dq[4]),
- .O(soc_a7ddrphy_dq_i_nodelay4)
+ .O(a7ddrphy_dq_i_nodelay4)
);
OSERDESE2 #(
) OSERDESE2_35 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[5]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[21]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[5]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[21]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[5]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[21]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[5]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[21]),
+ .D1(a7ddrphy_dfi_p0_wrdata[5]),
+ .D2(a7ddrphy_dfi_p0_wrdata[21]),
+ .D3(a7ddrphy_dfi_p1_wrdata[5]),
+ .D4(a7ddrphy_dfi_p1_wrdata[21]),
+ .D5(a7ddrphy_dfi_p2_wrdata[5]),
+ .D6(a7ddrphy_dfi_p2_wrdata[21]),
+ .D7(a7ddrphy_dfi_p3_wrdata[5]),
+ .D8(a7ddrphy_dfi_p3_wrdata[21]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay5),
- .TQ(soc_a7ddrphy_dq_t5)
+ .OQ(a7ddrphy_dq_o_nodelay5),
+ .TQ(a7ddrphy_dq_t5)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed5),
+ .DDLY(a7ddrphy_dq_i_delayed5),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data5[7]),
- .Q2(soc_a7ddrphy_dq_i_data5[6]),
- .Q3(soc_a7ddrphy_dq_i_data5[5]),
- .Q4(soc_a7ddrphy_dq_i_data5[4]),
- .Q5(soc_a7ddrphy_dq_i_data5[3]),
- .Q6(soc_a7ddrphy_dq_i_data5[2]),
- .Q7(soc_a7ddrphy_dq_i_data5[1]),
- .Q8(soc_a7ddrphy_dq_i_data5[0])
+ .Q1(a7ddrphy_dq_i_data5[7]),
+ .Q2(a7ddrphy_dq_i_data5[6]),
+ .Q3(a7ddrphy_dq_i_data5[5]),
+ .Q4(a7ddrphy_dq_i_data5[4]),
+ .Q5(a7ddrphy_dq_i_data5[3]),
+ .Q6(a7ddrphy_dq_i_data5[2]),
+ .Q7(a7ddrphy_dq_i_data5[1]),
+ .Q8(a7ddrphy_dq_i_data5[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_7 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay5),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay5),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed5)
+ .DATAOUT(a7ddrphy_dq_i_delayed5)
);
IOBUF IOBUF_5(
- .I(soc_a7ddrphy_dq_o_nodelay5),
- .T(soc_a7ddrphy_dq_t5),
+ .I(a7ddrphy_dq_o_nodelay5),
+ .T(a7ddrphy_dq_t5),
.IO(ddram_dq[5]),
- .O(soc_a7ddrphy_dq_i_nodelay5)
+ .O(a7ddrphy_dq_i_nodelay5)
);
OSERDESE2 #(
) OSERDESE2_36 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[6]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[22]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[6]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[22]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[6]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[22]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[6]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[22]),
+ .D1(a7ddrphy_dfi_p0_wrdata[6]),
+ .D2(a7ddrphy_dfi_p0_wrdata[22]),
+ .D3(a7ddrphy_dfi_p1_wrdata[6]),
+ .D4(a7ddrphy_dfi_p1_wrdata[22]),
+ .D5(a7ddrphy_dfi_p2_wrdata[6]),
+ .D6(a7ddrphy_dfi_p2_wrdata[22]),
+ .D7(a7ddrphy_dfi_p3_wrdata[6]),
+ .D8(a7ddrphy_dfi_p3_wrdata[22]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay6),
- .TQ(soc_a7ddrphy_dq_t6)
+ .OQ(a7ddrphy_dq_o_nodelay6),
+ .TQ(a7ddrphy_dq_t6)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed6),
+ .DDLY(a7ddrphy_dq_i_delayed6),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data6[7]),
- .Q2(soc_a7ddrphy_dq_i_data6[6]),
- .Q3(soc_a7ddrphy_dq_i_data6[5]),
- .Q4(soc_a7ddrphy_dq_i_data6[4]),
- .Q5(soc_a7ddrphy_dq_i_data6[3]),
- .Q6(soc_a7ddrphy_dq_i_data6[2]),
- .Q7(soc_a7ddrphy_dq_i_data6[1]),
- .Q8(soc_a7ddrphy_dq_i_data6[0])
+ .Q1(a7ddrphy_dq_i_data6[7]),
+ .Q2(a7ddrphy_dq_i_data6[6]),
+ .Q3(a7ddrphy_dq_i_data6[5]),
+ .Q4(a7ddrphy_dq_i_data6[4]),
+ .Q5(a7ddrphy_dq_i_data6[3]),
+ .Q6(a7ddrphy_dq_i_data6[2]),
+ .Q7(a7ddrphy_dq_i_data6[1]),
+ .Q8(a7ddrphy_dq_i_data6[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_8 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay6),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay6),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed6)
+ .DATAOUT(a7ddrphy_dq_i_delayed6)
);
IOBUF IOBUF_6(
- .I(soc_a7ddrphy_dq_o_nodelay6),
- .T(soc_a7ddrphy_dq_t6),
+ .I(a7ddrphy_dq_o_nodelay6),
+ .T(a7ddrphy_dq_t6),
.IO(ddram_dq[6]),
- .O(soc_a7ddrphy_dq_i_nodelay6)
+ .O(a7ddrphy_dq_i_nodelay6)
);
OSERDESE2 #(
) OSERDESE2_37 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[7]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[23]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[7]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[23]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[7]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[23]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[7]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[23]),
+ .D1(a7ddrphy_dfi_p0_wrdata[7]),
+ .D2(a7ddrphy_dfi_p0_wrdata[23]),
+ .D3(a7ddrphy_dfi_p1_wrdata[7]),
+ .D4(a7ddrphy_dfi_p1_wrdata[23]),
+ .D5(a7ddrphy_dfi_p2_wrdata[7]),
+ .D6(a7ddrphy_dfi_p2_wrdata[23]),
+ .D7(a7ddrphy_dfi_p3_wrdata[7]),
+ .D8(a7ddrphy_dfi_p3_wrdata[23]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay7),
- .TQ(soc_a7ddrphy_dq_t7)
+ .OQ(a7ddrphy_dq_o_nodelay7),
+ .TQ(a7ddrphy_dq_t7)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed7),
+ .DDLY(a7ddrphy_dq_i_delayed7),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data7[7]),
- .Q2(soc_a7ddrphy_dq_i_data7[6]),
- .Q3(soc_a7ddrphy_dq_i_data7[5]),
- .Q4(soc_a7ddrphy_dq_i_data7[4]),
- .Q5(soc_a7ddrphy_dq_i_data7[3]),
- .Q6(soc_a7ddrphy_dq_i_data7[2]),
- .Q7(soc_a7ddrphy_dq_i_data7[1]),
- .Q8(soc_a7ddrphy_dq_i_data7[0])
+ .Q1(a7ddrphy_dq_i_data7[7]),
+ .Q2(a7ddrphy_dq_i_data7[6]),
+ .Q3(a7ddrphy_dq_i_data7[5]),
+ .Q4(a7ddrphy_dq_i_data7[4]),
+ .Q5(a7ddrphy_dq_i_data7[3]),
+ .Q6(a7ddrphy_dq_i_data7[2]),
+ .Q7(a7ddrphy_dq_i_data7[1]),
+ .Q8(a7ddrphy_dq_i_data7[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_9 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay7),
+ .CE((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay7),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[0] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[0] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed7)
+ .DATAOUT(a7ddrphy_dq_i_delayed7)
);
IOBUF IOBUF_7(
- .I(soc_a7ddrphy_dq_o_nodelay7),
- .T(soc_a7ddrphy_dq_t7),
+ .I(a7ddrphy_dq_o_nodelay7),
+ .T(a7ddrphy_dq_t7),
.IO(ddram_dq[7]),
- .O(soc_a7ddrphy_dq_i_nodelay7)
+ .O(a7ddrphy_dq_i_nodelay7)
);
OSERDESE2 #(
) OSERDESE2_38 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[8]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[24]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[8]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[24]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[8]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[24]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[8]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[24]),
+ .D1(a7ddrphy_dfi_p0_wrdata[8]),
+ .D2(a7ddrphy_dfi_p0_wrdata[24]),
+ .D3(a7ddrphy_dfi_p1_wrdata[8]),
+ .D4(a7ddrphy_dfi_p1_wrdata[24]),
+ .D5(a7ddrphy_dfi_p2_wrdata[8]),
+ .D6(a7ddrphy_dfi_p2_wrdata[24]),
+ .D7(a7ddrphy_dfi_p3_wrdata[8]),
+ .D8(a7ddrphy_dfi_p3_wrdata[24]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay8),
- .TQ(soc_a7ddrphy_dq_t8)
+ .OQ(a7ddrphy_dq_o_nodelay8),
+ .TQ(a7ddrphy_dq_t8)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed8),
+ .DDLY(a7ddrphy_dq_i_delayed8),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data8[7]),
- .Q2(soc_a7ddrphy_dq_i_data8[6]),
- .Q3(soc_a7ddrphy_dq_i_data8[5]),
- .Q4(soc_a7ddrphy_dq_i_data8[4]),
- .Q5(soc_a7ddrphy_dq_i_data8[3]),
- .Q6(soc_a7ddrphy_dq_i_data8[2]),
- .Q7(soc_a7ddrphy_dq_i_data8[1]),
- .Q8(soc_a7ddrphy_dq_i_data8[0])
+ .Q1(a7ddrphy_dq_i_data8[7]),
+ .Q2(a7ddrphy_dq_i_data8[6]),
+ .Q3(a7ddrphy_dq_i_data8[5]),
+ .Q4(a7ddrphy_dq_i_data8[4]),
+ .Q5(a7ddrphy_dq_i_data8[3]),
+ .Q6(a7ddrphy_dq_i_data8[2]),
+ .Q7(a7ddrphy_dq_i_data8[1]),
+ .Q8(a7ddrphy_dq_i_data8[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_10 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay8),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay8),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed8)
+ .DATAOUT(a7ddrphy_dq_i_delayed8)
);
IOBUF IOBUF_8(
- .I(soc_a7ddrphy_dq_o_nodelay8),
- .T(soc_a7ddrphy_dq_t8),
+ .I(a7ddrphy_dq_o_nodelay8),
+ .T(a7ddrphy_dq_t8),
.IO(ddram_dq[8]),
- .O(soc_a7ddrphy_dq_i_nodelay8)
+ .O(a7ddrphy_dq_i_nodelay8)
);
OSERDESE2 #(
) OSERDESE2_39 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[9]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[25]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[9]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[25]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[9]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[25]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[9]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[25]),
+ .D1(a7ddrphy_dfi_p0_wrdata[9]),
+ .D2(a7ddrphy_dfi_p0_wrdata[25]),
+ .D3(a7ddrphy_dfi_p1_wrdata[9]),
+ .D4(a7ddrphy_dfi_p1_wrdata[25]),
+ .D5(a7ddrphy_dfi_p2_wrdata[9]),
+ .D6(a7ddrphy_dfi_p2_wrdata[25]),
+ .D7(a7ddrphy_dfi_p3_wrdata[9]),
+ .D8(a7ddrphy_dfi_p3_wrdata[25]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay9),
- .TQ(soc_a7ddrphy_dq_t9)
+ .OQ(a7ddrphy_dq_o_nodelay9),
+ .TQ(a7ddrphy_dq_t9)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed9),
+ .DDLY(a7ddrphy_dq_i_delayed9),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data9[7]),
- .Q2(soc_a7ddrphy_dq_i_data9[6]),
- .Q3(soc_a7ddrphy_dq_i_data9[5]),
- .Q4(soc_a7ddrphy_dq_i_data9[4]),
- .Q5(soc_a7ddrphy_dq_i_data9[3]),
- .Q6(soc_a7ddrphy_dq_i_data9[2]),
- .Q7(soc_a7ddrphy_dq_i_data9[1]),
- .Q8(soc_a7ddrphy_dq_i_data9[0])
+ .Q1(a7ddrphy_dq_i_data9[7]),
+ .Q2(a7ddrphy_dq_i_data9[6]),
+ .Q3(a7ddrphy_dq_i_data9[5]),
+ .Q4(a7ddrphy_dq_i_data9[4]),
+ .Q5(a7ddrphy_dq_i_data9[3]),
+ .Q6(a7ddrphy_dq_i_data9[2]),
+ .Q7(a7ddrphy_dq_i_data9[1]),
+ .Q8(a7ddrphy_dq_i_data9[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_11 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay9),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay9),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed9)
+ .DATAOUT(a7ddrphy_dq_i_delayed9)
);
IOBUF IOBUF_9(
- .I(soc_a7ddrphy_dq_o_nodelay9),
- .T(soc_a7ddrphy_dq_t9),
+ .I(a7ddrphy_dq_o_nodelay9),
+ .T(a7ddrphy_dq_t9),
.IO(ddram_dq[9]),
- .O(soc_a7ddrphy_dq_i_nodelay9)
+ .O(a7ddrphy_dq_i_nodelay9)
);
OSERDESE2 #(
) OSERDESE2_40 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[10]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[26]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[10]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[26]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[10]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[26]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[10]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[26]),
+ .D1(a7ddrphy_dfi_p0_wrdata[10]),
+ .D2(a7ddrphy_dfi_p0_wrdata[26]),
+ .D3(a7ddrphy_dfi_p1_wrdata[10]),
+ .D4(a7ddrphy_dfi_p1_wrdata[26]),
+ .D5(a7ddrphy_dfi_p2_wrdata[10]),
+ .D6(a7ddrphy_dfi_p2_wrdata[26]),
+ .D7(a7ddrphy_dfi_p3_wrdata[10]),
+ .D8(a7ddrphy_dfi_p3_wrdata[26]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay10),
- .TQ(soc_a7ddrphy_dq_t10)
+ .OQ(a7ddrphy_dq_o_nodelay10),
+ .TQ(a7ddrphy_dq_t10)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed10),
+ .DDLY(a7ddrphy_dq_i_delayed10),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data10[7]),
- .Q2(soc_a7ddrphy_dq_i_data10[6]),
- .Q3(soc_a7ddrphy_dq_i_data10[5]),
- .Q4(soc_a7ddrphy_dq_i_data10[4]),
- .Q5(soc_a7ddrphy_dq_i_data10[3]),
- .Q6(soc_a7ddrphy_dq_i_data10[2]),
- .Q7(soc_a7ddrphy_dq_i_data10[1]),
- .Q8(soc_a7ddrphy_dq_i_data10[0])
+ .Q1(a7ddrphy_dq_i_data10[7]),
+ .Q2(a7ddrphy_dq_i_data10[6]),
+ .Q3(a7ddrphy_dq_i_data10[5]),
+ .Q4(a7ddrphy_dq_i_data10[4]),
+ .Q5(a7ddrphy_dq_i_data10[3]),
+ .Q6(a7ddrphy_dq_i_data10[2]),
+ .Q7(a7ddrphy_dq_i_data10[1]),
+ .Q8(a7ddrphy_dq_i_data10[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_12 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay10),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay10),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed10)
+ .DATAOUT(a7ddrphy_dq_i_delayed10)
);
IOBUF IOBUF_10(
- .I(soc_a7ddrphy_dq_o_nodelay10),
- .T(soc_a7ddrphy_dq_t10),
+ .I(a7ddrphy_dq_o_nodelay10),
+ .T(a7ddrphy_dq_t10),
.IO(ddram_dq[10]),
- .O(soc_a7ddrphy_dq_i_nodelay10)
+ .O(a7ddrphy_dq_i_nodelay10)
);
OSERDESE2 #(
) OSERDESE2_41 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[11]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[27]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[11]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[27]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[11]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[27]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[11]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[27]),
+ .D1(a7ddrphy_dfi_p0_wrdata[11]),
+ .D2(a7ddrphy_dfi_p0_wrdata[27]),
+ .D3(a7ddrphy_dfi_p1_wrdata[11]),
+ .D4(a7ddrphy_dfi_p1_wrdata[27]),
+ .D5(a7ddrphy_dfi_p2_wrdata[11]),
+ .D6(a7ddrphy_dfi_p2_wrdata[27]),
+ .D7(a7ddrphy_dfi_p3_wrdata[11]),
+ .D8(a7ddrphy_dfi_p3_wrdata[27]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay11),
- .TQ(soc_a7ddrphy_dq_t11)
+ .OQ(a7ddrphy_dq_o_nodelay11),
+ .TQ(a7ddrphy_dq_t11)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed11),
+ .DDLY(a7ddrphy_dq_i_delayed11),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data11[7]),
- .Q2(soc_a7ddrphy_dq_i_data11[6]),
- .Q3(soc_a7ddrphy_dq_i_data11[5]),
- .Q4(soc_a7ddrphy_dq_i_data11[4]),
- .Q5(soc_a7ddrphy_dq_i_data11[3]),
- .Q6(soc_a7ddrphy_dq_i_data11[2]),
- .Q7(soc_a7ddrphy_dq_i_data11[1]),
- .Q8(soc_a7ddrphy_dq_i_data11[0])
+ .Q1(a7ddrphy_dq_i_data11[7]),
+ .Q2(a7ddrphy_dq_i_data11[6]),
+ .Q3(a7ddrphy_dq_i_data11[5]),
+ .Q4(a7ddrphy_dq_i_data11[4]),
+ .Q5(a7ddrphy_dq_i_data11[3]),
+ .Q6(a7ddrphy_dq_i_data11[2]),
+ .Q7(a7ddrphy_dq_i_data11[1]),
+ .Q8(a7ddrphy_dq_i_data11[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_13 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay11),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay11),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed11)
+ .DATAOUT(a7ddrphy_dq_i_delayed11)
);
IOBUF IOBUF_11(
- .I(soc_a7ddrphy_dq_o_nodelay11),
- .T(soc_a7ddrphy_dq_t11),
+ .I(a7ddrphy_dq_o_nodelay11),
+ .T(a7ddrphy_dq_t11),
.IO(ddram_dq[11]),
- .O(soc_a7ddrphy_dq_i_nodelay11)
+ .O(a7ddrphy_dq_i_nodelay11)
);
OSERDESE2 #(
) OSERDESE2_42 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[12]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[28]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[12]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[28]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[12]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[28]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[12]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[28]),
+ .D1(a7ddrphy_dfi_p0_wrdata[12]),
+ .D2(a7ddrphy_dfi_p0_wrdata[28]),
+ .D3(a7ddrphy_dfi_p1_wrdata[12]),
+ .D4(a7ddrphy_dfi_p1_wrdata[28]),
+ .D5(a7ddrphy_dfi_p2_wrdata[12]),
+ .D6(a7ddrphy_dfi_p2_wrdata[28]),
+ .D7(a7ddrphy_dfi_p3_wrdata[12]),
+ .D8(a7ddrphy_dfi_p3_wrdata[28]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay12),
- .TQ(soc_a7ddrphy_dq_t12)
+ .OQ(a7ddrphy_dq_o_nodelay12),
+ .TQ(a7ddrphy_dq_t12)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed12),
+ .DDLY(a7ddrphy_dq_i_delayed12),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data12[7]),
- .Q2(soc_a7ddrphy_dq_i_data12[6]),
- .Q3(soc_a7ddrphy_dq_i_data12[5]),
- .Q4(soc_a7ddrphy_dq_i_data12[4]),
- .Q5(soc_a7ddrphy_dq_i_data12[3]),
- .Q6(soc_a7ddrphy_dq_i_data12[2]),
- .Q7(soc_a7ddrphy_dq_i_data12[1]),
- .Q8(soc_a7ddrphy_dq_i_data12[0])
+ .Q1(a7ddrphy_dq_i_data12[7]),
+ .Q2(a7ddrphy_dq_i_data12[6]),
+ .Q3(a7ddrphy_dq_i_data12[5]),
+ .Q4(a7ddrphy_dq_i_data12[4]),
+ .Q5(a7ddrphy_dq_i_data12[3]),
+ .Q6(a7ddrphy_dq_i_data12[2]),
+ .Q7(a7ddrphy_dq_i_data12[1]),
+ .Q8(a7ddrphy_dq_i_data12[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_14 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay12),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay12),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed12)
+ .DATAOUT(a7ddrphy_dq_i_delayed12)
);
IOBUF IOBUF_12(
- .I(soc_a7ddrphy_dq_o_nodelay12),
- .T(soc_a7ddrphy_dq_t12),
+ .I(a7ddrphy_dq_o_nodelay12),
+ .T(a7ddrphy_dq_t12),
.IO(ddram_dq[12]),
- .O(soc_a7ddrphy_dq_i_nodelay12)
+ .O(a7ddrphy_dq_i_nodelay12)
);
OSERDESE2 #(
) OSERDESE2_43 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[13]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[29]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[13]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[29]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[13]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[29]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[13]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[29]),
+ .D1(a7ddrphy_dfi_p0_wrdata[13]),
+ .D2(a7ddrphy_dfi_p0_wrdata[29]),
+ .D3(a7ddrphy_dfi_p1_wrdata[13]),
+ .D4(a7ddrphy_dfi_p1_wrdata[29]),
+ .D5(a7ddrphy_dfi_p2_wrdata[13]),
+ .D6(a7ddrphy_dfi_p2_wrdata[29]),
+ .D7(a7ddrphy_dfi_p3_wrdata[13]),
+ .D8(a7ddrphy_dfi_p3_wrdata[29]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay13),
- .TQ(soc_a7ddrphy_dq_t13)
+ .OQ(a7ddrphy_dq_o_nodelay13),
+ .TQ(a7ddrphy_dq_t13)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed13),
+ .DDLY(a7ddrphy_dq_i_delayed13),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data13[7]),
- .Q2(soc_a7ddrphy_dq_i_data13[6]),
- .Q3(soc_a7ddrphy_dq_i_data13[5]),
- .Q4(soc_a7ddrphy_dq_i_data13[4]),
- .Q5(soc_a7ddrphy_dq_i_data13[3]),
- .Q6(soc_a7ddrphy_dq_i_data13[2]),
- .Q7(soc_a7ddrphy_dq_i_data13[1]),
- .Q8(soc_a7ddrphy_dq_i_data13[0])
+ .Q1(a7ddrphy_dq_i_data13[7]),
+ .Q2(a7ddrphy_dq_i_data13[6]),
+ .Q3(a7ddrphy_dq_i_data13[5]),
+ .Q4(a7ddrphy_dq_i_data13[4]),
+ .Q5(a7ddrphy_dq_i_data13[3]),
+ .Q6(a7ddrphy_dq_i_data13[2]),
+ .Q7(a7ddrphy_dq_i_data13[1]),
+ .Q8(a7ddrphy_dq_i_data13[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_15 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay13),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay13),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed13)
+ .DATAOUT(a7ddrphy_dq_i_delayed13)
);
IOBUF IOBUF_13(
- .I(soc_a7ddrphy_dq_o_nodelay13),
- .T(soc_a7ddrphy_dq_t13),
+ .I(a7ddrphy_dq_o_nodelay13),
+ .T(a7ddrphy_dq_t13),
.IO(ddram_dq[13]),
- .O(soc_a7ddrphy_dq_i_nodelay13)
+ .O(a7ddrphy_dq_i_nodelay13)
);
OSERDESE2 #(
) OSERDESE2_44 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[14]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[30]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[14]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[30]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[14]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[30]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[14]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[30]),
+ .D1(a7ddrphy_dfi_p0_wrdata[14]),
+ .D2(a7ddrphy_dfi_p0_wrdata[30]),
+ .D3(a7ddrphy_dfi_p1_wrdata[14]),
+ .D4(a7ddrphy_dfi_p1_wrdata[30]),
+ .D5(a7ddrphy_dfi_p2_wrdata[14]),
+ .D6(a7ddrphy_dfi_p2_wrdata[30]),
+ .D7(a7ddrphy_dfi_p3_wrdata[14]),
+ .D8(a7ddrphy_dfi_p3_wrdata[30]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay14),
- .TQ(soc_a7ddrphy_dq_t14)
+ .OQ(a7ddrphy_dq_o_nodelay14),
+ .TQ(a7ddrphy_dq_t14)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed14),
+ .DDLY(a7ddrphy_dq_i_delayed14),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data14[7]),
- .Q2(soc_a7ddrphy_dq_i_data14[6]),
- .Q3(soc_a7ddrphy_dq_i_data14[5]),
- .Q4(soc_a7ddrphy_dq_i_data14[4]),
- .Q5(soc_a7ddrphy_dq_i_data14[3]),
- .Q6(soc_a7ddrphy_dq_i_data14[2]),
- .Q7(soc_a7ddrphy_dq_i_data14[1]),
- .Q8(soc_a7ddrphy_dq_i_data14[0])
+ .Q1(a7ddrphy_dq_i_data14[7]),
+ .Q2(a7ddrphy_dq_i_data14[6]),
+ .Q3(a7ddrphy_dq_i_data14[5]),
+ .Q4(a7ddrphy_dq_i_data14[4]),
+ .Q5(a7ddrphy_dq_i_data14[3]),
+ .Q6(a7ddrphy_dq_i_data14[2]),
+ .Q7(a7ddrphy_dq_i_data14[1]),
+ .Q8(a7ddrphy_dq_i_data14[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_16 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay14),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay14),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed14)
+ .DATAOUT(a7ddrphy_dq_i_delayed14)
);
IOBUF IOBUF_14(
- .I(soc_a7ddrphy_dq_o_nodelay14),
- .T(soc_a7ddrphy_dq_t14),
+ .I(a7ddrphy_dq_o_nodelay14),
+ .T(a7ddrphy_dq_t14),
.IO(ddram_dq[14]),
- .O(soc_a7ddrphy_dq_i_nodelay14)
+ .O(a7ddrphy_dq_i_nodelay14)
);
OSERDESE2 #(
) OSERDESE2_45 (
.CLK(sys4x_clk),
.CLKDIV(sys_clk),
- .D1(soc_a7ddrphy_dfi_p0_wrdata[15]),
- .D2(soc_a7ddrphy_dfi_p0_wrdata[31]),
- .D3(soc_a7ddrphy_dfi_p1_wrdata[15]),
- .D4(soc_a7ddrphy_dfi_p1_wrdata[31]),
- .D5(soc_a7ddrphy_dfi_p2_wrdata[15]),
- .D6(soc_a7ddrphy_dfi_p2_wrdata[31]),
- .D7(soc_a7ddrphy_dfi_p3_wrdata[15]),
- .D8(soc_a7ddrphy_dfi_p3_wrdata[31]),
+ .D1(a7ddrphy_dfi_p0_wrdata[15]),
+ .D2(a7ddrphy_dfi_p0_wrdata[31]),
+ .D3(a7ddrphy_dfi_p1_wrdata[15]),
+ .D4(a7ddrphy_dfi_p1_wrdata[31]),
+ .D5(a7ddrphy_dfi_p2_wrdata[15]),
+ .D6(a7ddrphy_dfi_p2_wrdata[31]),
+ .D7(a7ddrphy_dfi_p3_wrdata[15]),
+ .D8(a7ddrphy_dfi_p3_wrdata[31]),
.OCE(1'd1),
.RST(sys_rst),
- .T1((~soc_a7ddrphy_dq_oe_delayed)),
+ .T1((~a7ddrphy_dq_oe_delayed)),
.TCE(1'd1),
- .OQ(soc_a7ddrphy_dq_o_nodelay15),
- .TQ(soc_a7ddrphy_dq_t15)
+ .OQ(a7ddrphy_dq_o_nodelay15),
+ .TQ(a7ddrphy_dq_t15)
);
ISERDESE2 #(
.CLK(sys4x_clk),
.CLKB((~sys4x_clk)),
.CLKDIV(sys_clk),
- .DDLY(soc_a7ddrphy_dq_i_delayed15),
+ .DDLY(a7ddrphy_dq_i_delayed15),
.RST(sys_rst),
- .Q1(soc_a7ddrphy_dq_i_data15[7]),
- .Q2(soc_a7ddrphy_dq_i_data15[6]),
- .Q3(soc_a7ddrphy_dq_i_data15[5]),
- .Q4(soc_a7ddrphy_dq_i_data15[4]),
- .Q5(soc_a7ddrphy_dq_i_data15[3]),
- .Q6(soc_a7ddrphy_dq_i_data15[2]),
- .Q7(soc_a7ddrphy_dq_i_data15[1]),
- .Q8(soc_a7ddrphy_dq_i_data15[0])
+ .Q1(a7ddrphy_dq_i_data15[7]),
+ .Q2(a7ddrphy_dq_i_data15[6]),
+ .Q3(a7ddrphy_dq_i_data15[5]),
+ .Q4(a7ddrphy_dq_i_data15[4]),
+ .Q5(a7ddrphy_dq_i_data15[3]),
+ .Q6(a7ddrphy_dq_i_data15[2]),
+ .Q7(a7ddrphy_dq_i_data15[1]),
+ .Q8(a7ddrphy_dq_i_data15[0])
);
IDELAYE2 #(
.SIGNAL_PATTERN("DATA")
) IDELAYE2_17 (
.C(sys_clk),
- .CE((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_inc_re)),
- .IDATAIN(soc_a7ddrphy_dq_i_nodelay15),
+ .CE((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_inc_re)),
+ .IDATAIN(a7ddrphy_dq_i_nodelay15),
.INC(1'd1),
- .LD((soc_a7ddrphy_dly_sel_storage[1] & soc_a7ddrphy_rdly_dq_rst_re)),
+ .LD((a7ddrphy_dly_sel_storage[1] & a7ddrphy_rdly_dq_rst_re)),
.LDPIPEEN(1'd0),
- .DATAOUT(soc_a7ddrphy_dq_i_delayed15)
+ .DATAOUT(a7ddrphy_dq_i_delayed15)
);
IOBUF IOBUF_15(
- .I(soc_a7ddrphy_dq_o_nodelay15),
- .T(soc_a7ddrphy_dq_t15),
+ .I(a7ddrphy_dq_o_nodelay15),
+ .T(a7ddrphy_dq_t15),
.IO(ddram_dq[15]),
- .O(soc_a7ddrphy_dq_i_nodelay15)
+ .O(a7ddrphy_dq_i_nodelay15)
);
-reg [24:0] storage_2[0:15];
-reg [24:0] memdat_5;
+reg [24:0] storage[0:15];
+reg [24:0] memdat;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_we)
- storage_2[soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_w;
- memdat_5 <= storage_2[soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_we)
+ storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_w;
+ memdat <= storage[litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = memdat_5;
-assign soc_sdram_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage_2[soc_sdram_bankmachine0_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_wrport_dat_r = memdat;
+assign litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_dat_r = storage[litedramcore_bankmachine0_cmd_buffer_lookahead_rdport_adr];
-reg [24:0] storage_3[0:15];
-reg [24:0] memdat_6;
+reg [24:0] storage_1[0:15];
+reg [24:0] memdat_1;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_we)
- storage_3[soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_w;
- memdat_6 <= storage_3[soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_we)
+ storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_1 <= storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = memdat_6;
-assign soc_sdram_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_3[soc_sdram_bankmachine1_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_wrport_dat_r = memdat_1;
+assign litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_dat_r = storage_1[litedramcore_bankmachine1_cmd_buffer_lookahead_rdport_adr];
-reg [24:0] storage_4[0:15];
-reg [24:0] memdat_7;
+reg [24:0] storage_2[0:15];
+reg [24:0] memdat_2;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_we)
- storage_4[soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_w;
- memdat_7 <= storage_4[soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_we)
+ storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_2 <= storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = memdat_7;
-assign soc_sdram_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_4[soc_sdram_bankmachine2_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_wrport_dat_r = memdat_2;
+assign litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_dat_r = storage_2[litedramcore_bankmachine2_cmd_buffer_lookahead_rdport_adr];
-reg [24:0] storage_5[0:15];
-reg [24:0] memdat_8;
+reg [24:0] storage_3[0:15];
+reg [24:0] memdat_3;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_we)
- storage_5[soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_w;
- memdat_8 <= storage_5[soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_we)
+ storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_3 <= storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = memdat_8;
-assign soc_sdram_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_5[soc_sdram_bankmachine3_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_wrport_dat_r = memdat_3;
+assign litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_dat_r = storage_3[litedramcore_bankmachine3_cmd_buffer_lookahead_rdport_adr];
-reg [24:0] storage_6[0:15];
-reg [24:0] memdat_9;
+reg [24:0] storage_4[0:15];
+reg [24:0] memdat_4;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_we)
- storage_6[soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_w;
- memdat_9 <= storage_6[soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_we)
+ storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_4 <= storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = memdat_9;
-assign soc_sdram_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_6[soc_sdram_bankmachine4_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_wrport_dat_r = memdat_4;
+assign litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_dat_r = storage_4[litedramcore_bankmachine4_cmd_buffer_lookahead_rdport_adr];
-reg [24:0] storage_7[0:15];
-reg [24:0] memdat_10;
+reg [24:0] storage_5[0:15];
+reg [24:0] memdat_5;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_we)
- storage_7[soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_w;
- memdat_10 <= storage_7[soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_we)
+ storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_5 <= storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = memdat_10;
-assign soc_sdram_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_7[soc_sdram_bankmachine5_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_wrport_dat_r = memdat_5;
+assign litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_dat_r = storage_5[litedramcore_bankmachine5_cmd_buffer_lookahead_rdport_adr];
-reg [24:0] storage_8[0:15];
-reg [24:0] memdat_11;
+reg [24:0] storage_6[0:15];
+reg [24:0] memdat_6;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_we)
- storage_8[soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_w;
- memdat_11 <= storage_8[soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_we)
+ storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_6 <= storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = memdat_11;
-assign soc_sdram_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_8[soc_sdram_bankmachine6_cmd_buffer_lookahead_rdport_adr];
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_wrport_dat_r = memdat_6;
+assign litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_dat_r = storage_6[litedramcore_bankmachine6_cmd_buffer_lookahead_rdport_adr];
-reg [24:0] storage_9[0:15];
-reg [24:0] memdat_12;
+reg [24:0] storage_7[0:15];
+reg [24:0] memdat_7;
always @(posedge sys_clk) begin
- if (soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_we)
- storage_9[soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_w;
- memdat_12 <= storage_9[soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_adr];
+ if (litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_we)
+ storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr] <= litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_w;
+ memdat_7 <= storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_adr];
end
always @(posedge sys_clk) begin
end
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = memdat_12;
-assign soc_sdram_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_9[soc_sdram_bankmachine7_cmd_buffer_lookahead_rdport_adr];
-
-VexRiscv VexRiscv(
- .clk(sys_clk),
- .dBusWishbone_ACK(soc_litedramcore_cpu_dbus_ack),
- .dBusWishbone_DAT_MISO(soc_litedramcore_cpu_dbus_dat_r),
- .dBusWishbone_ERR(soc_litedramcore_cpu_dbus_err),
- .externalInterruptArray(soc_litedramcore_cpu_interrupt),
- .externalResetVector(soc_litedramcore_vexriscv),
- .iBusWishbone_ACK(soc_litedramcore_cpu_ibus_ack),
- .iBusWishbone_DAT_MISO(soc_litedramcore_cpu_ibus_dat_r),
- .iBusWishbone_ERR(soc_litedramcore_cpu_ibus_err),
- .reset((sys_rst | soc_litedramcore_cpu_reset)),
- .softwareInterrupt(1'd0),
- .timerInterrupt(1'd0),
- .dBusWishbone_ADR(soc_litedramcore_cpu_dbus_adr),
- .dBusWishbone_BTE(soc_litedramcore_cpu_dbus_bte),
- .dBusWishbone_CTI(soc_litedramcore_cpu_dbus_cti),
- .dBusWishbone_CYC(soc_litedramcore_cpu_dbus_cyc),
- .dBusWishbone_DAT_MOSI(soc_litedramcore_cpu_dbus_dat_w),
- .dBusWishbone_SEL(soc_litedramcore_cpu_dbus_sel),
- .dBusWishbone_STB(soc_litedramcore_cpu_dbus_stb),
- .dBusWishbone_WE(soc_litedramcore_cpu_dbus_we),
- .iBusWishbone_ADR(soc_litedramcore_cpu_ibus_adr),
- .iBusWishbone_BTE(soc_litedramcore_cpu_ibus_bte),
- .iBusWishbone_CTI(soc_litedramcore_cpu_ibus_cti),
- .iBusWishbone_CYC(soc_litedramcore_cpu_ibus_cyc),
- .iBusWishbone_DAT_MOSI(soc_litedramcore_cpu_ibus_dat_w),
- .iBusWishbone_SEL(soc_litedramcore_cpu_ibus_sel),
- .iBusWishbone_STB(soc_litedramcore_cpu_ibus_stb),
- .iBusWishbone_WE(soc_litedramcore_cpu_ibus_we)
-);
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_wrport_dat_r = memdat_7;
+assign litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_dat_r = storage_7[litedramcore_bankmachine7_cmd_buffer_lookahead_rdport_adr];
PLLE2_ADV #(
.CLKFBOUT_MULT(5'd16),
.REF_JITTER1(0.01),
.STARTUP_WAIT("FALSE")
) PLLE2_ADV (
- .CLKFBIN(vns_pll_fb0),
- .CLKIN1(soc_s7pll0_clkin),
- .RST(soc_sys_pll_reset),
- .CLKFBOUT(vns_pll_fb0),
- .CLKOUT0(soc_s7pll0_clkout0),
- .CLKOUT1(soc_s7pll0_clkout1),
- .CLKOUT2(soc_s7pll0_clkout2),
- .LOCKED(soc_sys_pll_locked)
+ .CLKFBIN(pll_fb0),
+ .CLKIN1(s7pll0_clkin),
+ .RST(sys_pll_reset),
+ .CLKFBOUT(pll_fb0),
+ .CLKOUT0(s7pll0_clkout0),
+ .CLKOUT1(s7pll0_clkout1),
+ .CLKOUT2(s7pll0_clkout2),
+ .LOCKED(sys_pll_locked)
);
PLLE2_ADV #(
.REF_JITTER1(0.01),
.STARTUP_WAIT("FALSE")
) PLLE2_ADV_1 (
- .CLKFBIN(vns_pll_fb1),
- .CLKIN1(soc_s7pll1_clkin),
- .RST(soc_iodelay_pll_reset),
- .CLKFBOUT(vns_pll_fb1),
- .CLKOUT0(soc_s7pll1_clkout),
- .LOCKED(soc_iodelay_pll_locked)
+ .CLKFBIN(pll_fb1),
+ .CLKIN1(s7pll1_clkin),
+ .RST(iodelay_pll_reset),
+ .CLKFBOUT(pll_fb1),
+ .CLKOUT0(s7pll1_clkout),
+ .LOCKED(iodelay_pll_locked)
);
(* ars_ff1 = "true", async_reg = "true" *) FDPE #(
.C(sys_clk),
.CE(1'd1),
.D(1'd0),
- .PRE(vns_xilinxasyncresetsynchronizerimpl0),
- .Q(vns_xilinxasyncresetsynchronizerimpl0_rst_meta)
+ .PRE(xilinxasyncresetsynchronizerimpl0),
+ .Q(xilinxasyncresetsynchronizerimpl0_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
) FDPE_1 (
.C(sys_clk),
.CE(1'd1),
- .D(vns_xilinxasyncresetsynchronizerimpl0_rst_meta),
- .PRE(vns_xilinxasyncresetsynchronizerimpl0),
+ .D(xilinxasyncresetsynchronizerimpl0_rst_meta),
+ .PRE(xilinxasyncresetsynchronizerimpl0),
.Q(sys_rst)
);
.C(sys4x_clk),
.CE(1'd1),
.D(1'd0),
- .PRE(vns_xilinxasyncresetsynchronizerimpl1),
- .Q(vns_xilinxasyncresetsynchronizerimpl1_rst_meta)
+ .PRE(xilinxasyncresetsynchronizerimpl1),
+ .Q(xilinxasyncresetsynchronizerimpl1_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
) FDPE_3 (
.C(sys4x_clk),
.CE(1'd1),
- .D(vns_xilinxasyncresetsynchronizerimpl1_rst_meta),
- .PRE(vns_xilinxasyncresetsynchronizerimpl1),
- .Q(vns_xilinxasyncresetsynchronizerimpl1_expr)
+ .D(xilinxasyncresetsynchronizerimpl1_rst_meta),
+ .PRE(xilinxasyncresetsynchronizerimpl1),
+ .Q(xilinxasyncresetsynchronizerimpl1_expr)
);
(* ars_ff1 = "true", async_reg = "true" *) FDPE #(
.C(sys4x_dqs_clk),
.CE(1'd1),
.D(1'd0),
- .PRE(vns_xilinxasyncresetsynchronizerimpl2),
- .Q(vns_xilinxasyncresetsynchronizerimpl2_rst_meta)
+ .PRE(xilinxasyncresetsynchronizerimpl2),
+ .Q(xilinxasyncresetsynchronizerimpl2_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
) FDPE_5 (
.C(sys4x_dqs_clk),
.CE(1'd1),
- .D(vns_xilinxasyncresetsynchronizerimpl2_rst_meta),
- .PRE(vns_xilinxasyncresetsynchronizerimpl2),
- .Q(vns_xilinxasyncresetsynchronizerimpl2_expr)
+ .D(xilinxasyncresetsynchronizerimpl2_rst_meta),
+ .PRE(xilinxasyncresetsynchronizerimpl2),
+ .Q(xilinxasyncresetsynchronizerimpl2_expr)
);
(* ars_ff1 = "true", async_reg = "true" *) FDPE #(
.C(iodelay_clk),
.CE(1'd1),
.D(1'd0),
- .PRE(vns_xilinxasyncresetsynchronizerimpl3),
- .Q(vns_xilinxasyncresetsynchronizerimpl3_rst_meta)
+ .PRE(xilinxasyncresetsynchronizerimpl3),
+ .Q(xilinxasyncresetsynchronizerimpl3_rst_meta)
);
(* ars_ff2 = "true", async_reg = "true" *) FDPE #(
) FDPE_7 (
.C(iodelay_clk),
.CE(1'd1),
- .D(vns_xilinxasyncresetsynchronizerimpl3_rst_meta),
- .PRE(vns_xilinxasyncresetsynchronizerimpl3),
+ .D(xilinxasyncresetsynchronizerimpl3_rst_meta),
+ .PRE(xilinxasyncresetsynchronizerimpl3),
.Q(iodelay_rst)
);
-- 0xc0000000: SYSCON
-- 0xc0002000: UART0
-- 0xc0004000: XICS ICP
+-- 0xc0100000: DRAM CSRs
-- 0xf0000000: Block RAM (aliased & repeated)
-- 0xffff0000: DRAM init code (if any)