Remove tests for now
authorMiodrag Milanovic <mmicko@gmail.com>
Wed, 26 Feb 2020 08:49:41 +0000 (09:49 +0100)
committerMiodrag Milanovic <mmicko@gmail.com>
Wed, 26 Feb 2020 08:49:41 +0000 (09:49 +0100)
tests/various/logger_error.ys [deleted file]
tests/various/logger_nowarning.ys [deleted file]
tests/various/logger_warn.ys [deleted file]
tests/various/logger_warning.ys [deleted file]

diff --git a/tests/various/logger_error.ys b/tests/various/logger_error.ys
deleted file mode 100644 (file)
index 46fe7f5..0000000
+++ /dev/null
@@ -1,6 +0,0 @@
-logger -werror "is implicitly declared." -expect error "is implicitly declared." 1
-read_verilog << EOF
-module top(...);
-       assign b = w;
-endmodule
-EOF
diff --git a/tests/various/logger_nowarning.ys b/tests/various/logger_nowarning.ys
deleted file mode 100644 (file)
index 87cbbc6..0000000
+++ /dev/null
@@ -1,6 +0,0 @@
-logger -expect-no-warnings -nowarn "is implicitly declared."
-read_verilog << EOF
-module top(...);
-       assign b = w;
-endmodule
-EOF
diff --git a/tests/various/logger_warn.ys b/tests/various/logger_warn.ys
deleted file mode 100644 (file)
index 2316ae4..0000000
+++ /dev/null
@@ -1,6 +0,0 @@
-logger -warn "Successfully finished Verilog frontend." -expect warning "Successfully finished Verilog frontend." 1
-read_verilog << EOF
-module top(...);
-       assign b = w;
-endmodule
-EOF
diff --git a/tests/various/logger_warning.ys b/tests/various/logger_warning.ys
deleted file mode 100644 (file)
index 642b1b9..0000000
+++ /dev/null
@@ -1,6 +0,0 @@
-logger -expect warning "is implicitly declared." 2
-read_verilog << EOF
-module top(...);
-       assign b = w;
-endmodule
-EOF