Add (* clkbuf_sink *) to SRLC16E, reorder ports to match vendor
authorEddie Hung <eddie@fpgeh.com>
Wed, 28 Aug 2019 17:51:39 +0000 (10:51 -0700)
committerEddie Hung <eddie@fpgeh.com>
Wed, 28 Aug 2019 17:51:39 +0000 (10:51 -0700)
techlibs/xilinx/cells_sim.v

index 973e17212ff4a4b47f7ed6e6576894a3cb664037..e12b77c024632e5d5c9a2b4c1a700738c27bb7eb 100644 (file)
@@ -380,9 +380,10 @@ endmodule
 
 module SRL16E (
   output Q,
+  input A0, A1, A2, A3, CE,
   (* clkbuf_sink *)
   input CLK,
-  input A0, A1, A2, A3, CE, D
+  input D
 );
   parameter [15:0] INIT = 16'h0000;
   parameter [0:0] IS_CLK_INVERTED = 1'b0;
@@ -401,7 +402,10 @@ endmodule
 module SRLC16E (
   output Q,
   output Q15,
-  input A0, A1, A2, A3, CE, CLK, D
+  input A0, A1, A2, A3, CE,
+  (* clkbuf_sink *)
+  input CLK,
+  input D
 );
   parameter [15:0] INIT = 16'h0000;
   parameter [0:0] IS_CLK_INVERTED = 1'b0;
@@ -422,9 +426,10 @@ module SRLC32E (
   output Q,
   output Q31,
   input [4:0] A,
+  input CE,
   (* clkbuf_sink *)
   input CLK,
-  input CE, D
+  input D
 );
   parameter [31:0] INIT = 32'h00000000;
   parameter [0:0] IS_CLK_INVERTED = 1'b0;