fail svinterfaces testcases on yosys error exit
authorJakob Wenzel <wenzel@rs.tu-darmstadt.de>
Thu, 25 Apr 2019 13:12:24 +0000 (15:12 +0200)
committerJakob Wenzel <wenzel@rs.tu-darmstadt.de>
Thu, 2 May 2019 07:52:30 +0000 (09:52 +0200)
tests/svinterfaces/runone.sh

index 0adecc79779d1349dec8a7ccc2fc783222027bb0..71c2d49767eb9fb1b56f5be90f793c0c4166de9d 100755 (executable)
@@ -11,13 +11,13 @@ echo "" > $STDERRFILE
 
 echo -n "Test: ${TESTNAME} -> "
 
+set -e
+
 $PWD/../../yosys -p "read_verilog -sv ${TESTNAME}.sv ; hierarchy -check -top TopModule ; synth ; write_verilog ${TESTNAME}_syn.v" >> $STDOUTFILE >> $STDERRFILE
 $PWD/../../yosys -p "read_verilog -sv ${TESTNAME}_ref.v ; hierarchy -check -top TopModule ; synth ; write_verilog ${TESTNAME}_ref_syn.v" >> $STDOUTFILE >> $STDERRFILE
 
 rm -f a.out reference_result.txt dut_result.txt
 
-set -e
-
 iverilog -g2012 ${TESTNAME}_syn.v
 iverilog -g2012 ${TESTNAME}_ref_syn.v