Remove ununsed files
authorEddie Hung <eddie@fpgeh.com>
Mon, 20 Apr 2020 18:53:48 +0000 (11:53 -0700)
committerEddie Hung <eddie@fpgeh.com>
Mon, 20 Apr 2020 18:53:48 +0000 (11:53 -0700)
tests/arch/common/dynamic_part_select/multiple_blocking.v [deleted file]
tests/arch/common/dynamic_part_select/nonblocking.v [deleted file]
tests/arch/common/dynamic_part_select/original.v [deleted file]
tests/arch/common/dynamic_part_select/reset_test.v [deleted file]
tests/arch/common/dynamic_part_select/reversed.v [deleted file]

diff --git a/tests/arch/common/dynamic_part_select/multiple_blocking.v b/tests/arch/common/dynamic_part_select/multiple_blocking.v
deleted file mode 100644 (file)
index 7861722..0000000
+++ /dev/null
@@ -1,19 +0,0 @@
-module multiple_blocking #(parameter WIDTH=256, SELW=2)
-   (input               clk ,
-    input [9:0]         ctrl ,
-    input [15:0]        din ,
-    input [SELW-1:0]    sel ,
-    output reg [WIDTH:0] dout);
-
-   localparam SLICE = WIDTH/(SELW**2);
-   reg [9:0]            a;
-   reg [SELW-1:0]       b;
-   reg [15:0]           c;
-   always @(posedge clk) begin
-      a = ctrl + 1;
-      b = sel - 1;
-      c = ~din;
-      dout = dout + 1;
-      dout[a*b+:SLICE] = c;
-   end
-endmodule
diff --git a/tests/arch/common/dynamic_part_select/nonblocking.v b/tests/arch/common/dynamic_part_select/nonblocking.v
deleted file mode 100644 (file)
index 89c3995..0000000
+++ /dev/null
@@ -1,14 +0,0 @@
-module nonblocking #(parameter WIDTH=256, SELW=2)
-   (input                 clk ,
-    input [9:0]           ctrl ,
-    input [15:0]          din ,
-    input [SELW-1:0]      sel ,
-    output reg [WIDTH-1:0] dout);
-
-   localparam SLICE = WIDTH/(SELW**2);
-   always @(posedge clk) begin
-      dout <= dout + 1;
-      dout[ctrl*sel+:SLICE] <= din ;
-   end
-   
-endmodule
diff --git a/tests/arch/common/dynamic_part_select/original.v b/tests/arch/common/dynamic_part_select/original.v
deleted file mode 100644 (file)
index bd7654e..0000000
+++ /dev/null
@@ -1,13 +0,0 @@
-module original #(parameter WIDTH=256, SELW=2)
-   (input              clk ,
-    input [9:0]           ctrl ,
-    input [15:0]          din ,
-    input [SELW-1:0]      sel ,
-    output reg [WIDTH-1:0] dout);
-   
-   localparam SLICE = WIDTH/(SELW**2);
-   always @(posedge clk)
-     begin
-       dout[ctrl*sel+:SLICE] <= din ;
-     end
-endmodule
diff --git a/tests/arch/common/dynamic_part_select/reset_test.v b/tests/arch/common/dynamic_part_select/reset_test.v
deleted file mode 100644 (file)
index 5a3a9b9..0000000
+++ /dev/null
@@ -1,24 +0,0 @@
-module reset_test #(parameter WIDTH=256, SELW=2)
-   (input                  clk ,
-    input [9:0]           ctrl ,
-    input [15:0]          din ,
-    input [SELW-1:0]      sel ,
-    input wire                    reset,
-    output reg [WIDTH-1:0] dout);
-   
-   reg [5:0]              i;
-   wire [SELW-1:0]        rval = {reset, {SELW-1{1'b0}}};
-   localparam SLICE = WIDTH/(SELW**2);
-   // Doing exotic reset. masking 2 LSB bits to 0, 6 MSB bits to 1 for
-   // whatever reason.
-   always @(posedge clk) begin
-      if (reset) begin: reset_mask
-         for (i = 0; i < 16; i=i+1) begin
-            dout[i*rval+:SLICE] <= 32'hDEAD;
-         end
-      end
-      //else begin
-      dout[ctrl*sel+:SLICE] <= din;
-      //end
-   end
-endmodule
diff --git a/tests/arch/common/dynamic_part_select/reversed.v b/tests/arch/common/dynamic_part_select/reversed.v
deleted file mode 100644 (file)
index 5b0a77c..0000000
+++ /dev/null
@@ -1,13 +0,0 @@
-module reversed #(parameter WIDTH=256, SELW=2)
-   (input                  clk ,
-    input [9:0]           ctrl ,
-    input [15:0]          din ,
-    input [SELW-1:0]      sel ,
-    output reg [WIDTH-1:0] dout);
-   
-   localparam SLICE = WIDTH/(SELW**2);
-   always @(posedge clk) begin
-      dout[(WIDTH-ctrl*sel)-:SLICE] <= din;
-   end
-endmodule
-