Merge pull request #357 from antonblanchard/xics-warning
authorMichael Neuling <mikey@neuling.org>
Tue, 15 Mar 2022 23:48:59 +0000 (10:48 +1100)
committerGitHub <noreply@github.com>
Tue, 15 Mar 2022 23:48:59 +0000 (10:48 +1100)
xics: Fix warning when comparing two std_ulogic_vectors


Trivial merge