EX1_BYPASS : boolean := true;
HAS_FPU : boolean := true;
HAS_BTC : boolean := true;
+ RESET_ADDRESS : std_ulogic_vector(63 downto 0) := (others => '0');
ALT_RESET_ADDRESS : std_ulogic_vector(63 downto 0) := (others => '0');
LOG_LENGTH : natural := 512
);
fetch1_0: entity work.fetch1
generic map (
- RESET_ADDRESS => (others => '0'),
+ RESET_ADDRESS => RESET_ADDRESS,
ALT_RESET_ADDRESS => ALT_RESET_ADDRESS,
HAS_BTC => HAS_BTC
)
HAS_BTC : boolean := false;
LOG_LENGTH : natural := 512;
DISABLE_FLATTEN_CORE : boolean := false;
- UART_IS_16550 : boolean := true
+ UART_IS_16550 : boolean := true;
+ HAS_UART1 : boolean := false
);
port(
ext_clk : in std_ulogic;
HAS_BTC => HAS_BTC,
LOG_LENGTH => LOG_LENGTH,
DISABLE_FLATTEN_CORE => DISABLE_FLATTEN_CORE,
- UART0_IS_16550 => UART_IS_16550
+ UART0_IS_16550 => UART_IS_16550,
+ HAS_UART1 => HAS_UART1
)
port map (
system_clk => system_clk,
HAS_DRAM : boolean := false;
SIM_MAIN_BRAM : boolean := false;
DRAM_SIZE : integer := 0;
+ RESET_ADDRESS : std_ulogic_vector(63 downto 0) := (others => '0');
+ -- hack to jump-start alternative (e.g. verilator-loaded linux kernel)
+ -- RESET_ADDRESS : std_ulogic_vector(63 downto 0) := (22 downto 21 => '1', others => '0');
DRAM_INIT_SIZE : integer := 0;
HAS_SPI_FLASH : boolean := false;
SPI_FLASH_DLINES : positive := 1;
HAS_FPU => HAS_FPU,
HAS_BTC => HAS_BTC,
DISABLE_FLATTEN => DISABLE_FLATTEN_CORE,
+ RESET_ADDRESS => RESET_ADDRESS,
ALT_RESET_ADDRESS => (23 downto 0 => '0', others => '1'),
LOG_LENGTH => LOG_LENGTH
)