Update igloo2 example to Libero v12.0
authorClifford Wolf <clifford@clifford.at>
Mon, 4 Mar 2019 05:35:57 +0000 (21:35 -0800)
committerClifford Wolf <clifford@clifford.at>
Mon, 4 Mar 2019 05:36:03 +0000 (21:36 -0800)
Signed-off-by: Clifford Wolf <clifford@clifford.at>
examples/igloo2/libero.tcl
examples/igloo2/runme.sh

index 1f34763164fc8faccc2a62ba2146380222786a56..82e614cd4fe61a4a1a0abc7ae3f9d4ea950052e4 100644 (file)
@@ -15,6 +15,7 @@ new_project \
 import_files -hdl_source {netlist.vm}
 import_files -sdc {example.sdc}
 import_files -io_pdc {example.pdc}
+build_design_hierarchy
 set_option -synth 0
 
 organize_tool_files -tool PLACEROUTE \
@@ -44,10 +45,8 @@ puts "**> VERIFYTIMING"
 run_tool -name {VERIFYTIMING}
 puts "<** VERIFYTIMING"
 
-save_project
-
-# puts "**> export_bitstream"
-# export_bitstream_file -trusted_facility_file 1 -trusted_facility_file_components {FABRIC}
-# puts "<** export_bitstream"
+puts "**> export_bitstream"
+export_bitstream_file -trusted_facility_file 1 -trusted_facility_file_components {FABRIC}
+puts "<** export_bitstream"
 
 exit 0
index 54247759f60dec96edddbfe17ba53df2cc747441..95f2282ba5e863c7f1db300d82da55e618c3a9e3 100644 (file)
@@ -1,4 +1,4 @@
 #!/bin/bash
 set -ex
 yosys -p 'synth_sf2 -top example -edif netlist.edn -vlog netlist.vm' example.v
-LM_LICENSE_FILE=1702@`hostname` /opt/microsemi/Libero_SoC_v11.9/Libero/bin/libero SCRIPT:libero.tcl
+LM_LICENSE_FILE=1702@`hostname` /opt/microsemi/Libero_SoC_v12.0/Libero/bin/libero SCRIPT:libero.tcl