bram_we : out std_ulogic;
bram_re : out std_ulogic;
bram_addr : out std_logic_vector(log2ceil(MEMORY_SIZE) - 3- 1 downto 0);
- bram_di : inout std_logic_vector(63 downto 0);
- bram_do : out std_logic_vector(63 downto 0);
+ bram_di : out std_logic_vector(63 downto 0);
+ bram_do : in std_logic_vector(63 downto 0);
bram_sel : out std_logic_vector(7 downto 0);
+
-- for verilator debugging
nia_req: out std_ulogic;
ext_irq_eth : in std_ulogic := '0';
ext_irq_sdcard : in std_ulogic := '0';
- -- BRAM verilator access [FIXME]
+ -- BRAM verilator access [UNTESTED]
bram_we : out std_ulogic;
bram_re : out std_ulogic;
bram_addr : out std_logic_vector(log2ceil(MEMORY_SIZE) - 3- 1 downto 0);
- bram_di : inout std_logic_vector(63 downto 0);
- bram_do : out std_logic_vector(63 downto 0);
+ bram_di : out std_logic_vector(63 downto 0);
+ bram_do : in std_logic_vector(63 downto 0);
bram_sel : out std_logic_vector(7 downto 0);
-- UART0 signals: