Move debug execute output into decode2
authorAnton Blanchard <anton@linux.ibm.com>
Tue, 10 Sep 2019 05:02:18 +0000 (15:02 +1000)
committerAnton Blanchard <anton@ozlabs.org>
Wed, 11 Sep 2019 03:23:45 +0000 (13:23 +1000)
This covers all units, and we avoid double printing.

Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
decode2.vhdl
execute1.vhdl
loadstore1.vhdl

index 3e4b022d3b4c698c60691ae8b94894b1c2e9ad17..3aff41e7246c5aed2b6d81ea37c59f7e3162ac62 100644 (file)
@@ -188,6 +188,15 @@ begin
        decode2_0: process(clk)
        begin
                if rising_edge(clk) then
+                       if rin.e.valid = '1' then
+                               report "execute " & to_hstring(rin.e.nia);
+                       end if;
+                       if rin.l.valid = '1' then
+                               report "execute " & to_hstring(rin.e.nia);
+                       end if;
+                       if rin.m.valid = '1' then
+                               report "execute " & to_hstring(rin.e.nia);
+                       end if;
                        r <= rin;
                        r_int <= rin_int;
                end if;
index d6076a96626dade7b96ac163c0886edd7c5c06ff..cbb40496248b8008c8d16e9e12baff0115075968 100644 (file)
@@ -79,8 +79,6 @@ begin
                        v.e.valid := '1';
                        v.e.write_reg := e_in.write_reg;
 
-                       report "execute " & to_hstring(e_in.nia);
-
                        case_0: case e_in.insn_type is
 
                                when OP_ILLEGAL =>
index 20b2f9a219566c55a5a4ea05b84f16db87937de5..bf006d92d4e518e5f7d278645740334c814b5ec6 100644 (file)
@@ -28,11 +28,6 @@ begin
        begin
                if rising_edge(clk) then
                        l <= l_in;
-
-                       if l_in.valid = '1' then
-                               report "execute " & to_hstring(l_in.nia);
-                       end if;
-
                end if;
        end process;