projects
/
SymbiYosys.git
/ commitdiff
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
| commitdiff |
tree
raw
|
patch
| inline |
side by side
(parent:
5a04ac3
)
add testcase exposing #137
author
N. Engelhardt
<nak@symbioticeda.com>
Wed, 12 Jan 2022 10:06:05 +0000
(11:06 +0100)
committer
N. Engelhardt
<nak@symbioticeda.com>
Wed, 12 Jan 2022 10:06:05 +0000
(11:06 +0100)
tests/multi_assert.sby
[new file with mode: 0644]
patch
|
blob
diff --git a/tests/multi_assert.sby
b/tests/multi_assert.sby
new file mode 100644
(file)
index 0000000..
818195f
--- /dev/null
+++ b/
tests/multi_assert.sby
@@ -0,0
+1,24
@@
+[tasks]
+btormc
+pono
+
+[options]
+mode bmc
+depth 5
+expect fail
+
+[engines]
+btormc: btor btormc
+pono: btor pono
+
+[script]
+read_verilog -sv multi_assert.v
+prep -top test
+
+[file multi_assert.v]
+module test();
+always @* begin
+assert (1);
+assert (0);
+end
+endmodule