vendor.lattice_{ice40,ecp5}: fix typo.
authorwhitequark <cz@m-labs.hk>
Sun, 13 Oct 2019 22:17:46 +0000 (22:17 +0000)
committerwhitequark <cz@m-labs.hk>
Sun, 13 Oct 2019 22:17:46 +0000 (22:17 +0000)
nmigen/vendor/lattice_ecp5.py
nmigen/vendor/lattice_ice40.py

index c1140630fbb28c95a1061b66a3d0e41816410fbc..058c3e2751ba81f2eb2894a7588c342df206bf33 100644 (file)
@@ -110,10 +110,10 @@ class LatticeECP5Platform(TemplatedPlatform):
         "{{name}}.ys": r"""
             # {{autogenerated}}
             {% for file in platform.iter_extra_files(".v") -%}
-                read_verilog {{get_override("read_opts")|options}} {{file}}
+                read_verilog {{get_override("read_verilog_opts")|options}} {{file}}
             {% endfor %}
             {% for file in platform.iter_extra_files(".sv") -%}
-                read_verilog -sv {{get_override("read_opts")|options}} {{file}}
+                read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
             {% endfor %}
             read_ilang {{name}}.il
             {{get_override("script_after_read")|default("# (script_after_read placeholder)")}}
index 163b48e0f001ba6242c674896790ec9239578f1b..e6187805c120b5ba0097a1b7dffaab973b0433c8 100644 (file)
@@ -115,10 +115,10 @@ class LatticeICE40Platform(TemplatedPlatform):
         "{{name}}.ys": r"""
             # {{autogenerated}}
             {% for file in platform.iter_extra_files(".v") -%}
-                read_verilog {{get_override("read_opts")|options}} {{file}}
+                read_verilog {{get_override("read_verilog_opts")|options}} {{file}}
             {% endfor %}
             {% for file in platform.iter_extra_files(".sv") -%}
-                read_verilog -sv {{get_override("read_opts")|options}} {{file}}
+                read_verilog -sv {{get_override("read_verilog_opts")|options}} {{file}}
             {% endfor %}
             read_ilang {{name}}.il
             {{get_override("script_after_read")|default("# (script_after_read placeholder)")}}