Merge remote-tracking branch 'wq/master'
authorSebastien Bourdeauducq <sb@m-labs.hk>
Sat, 14 Mar 2020 06:38:11 +0000 (14:38 +0800)
committerSebastien Bourdeauducq <sb@m-labs.hk>
Sat, 14 Mar 2020 06:38:11 +0000 (14:38 +0800)
1  2 
README.md

diff --cc README.md
index b682a17673118e18c4937637da21bbe3ff05b105,280ba1ba11c41531e531b65947bde406ebd162f8..29e68cba0b1711212054754cd6f48140297ddd55
+++ b/README.md
@@@ -14,9 -14,7 +14,7 @@@ See the [doc/](doc/) folder for more te
  
  nMigen is based on [Migen][], a hardware description language developed by [M-Labs][]. Although Migen works very well in production, its design could be improved in many fundamental ways, and nMigen reimplements Migen concepts from scratch to do so. nMigen also provides an extensive [compatibility layer](#migration-from-migen) that makes it possible to build and simulate most Migen designs unmodified, as well as integrate modules written for Migen and nMigen.
  
- nMigen is designed for Python 3.6 and newer. nMigen's Verilog backend requires [Yosys][] 0.9 or a newer version.
 -The development of nMigen has been supported by [SymbioticEDA][], [LambdaConcept][] and [M-Labs][].
 +The development of nMigen has been supported by [M-Labs][] and [LambdaConcept][].
  
  [migen]: https://m-labs.hk/migen
  [yosys]: http://www.clifford.at/yosys/
@@@ -29,10 -24,10 +27,10 @@@ nMigen is *not* a "Python-to-FPGA" conv
  
  ### Installation
  
- nMigen requires [Yosys][] 0.9 or newer, as well as a device-specific toolchain.
+ nMigen requires Python 3.6 (or newer), [Yosys][] 0.9 (or newer), as well as a device-specific toolchain.
  
 -    pip install git+https://github.com/nmigen/nmigen.git
 -    pip install git+https://github.com/nmigen/nmigen-boards.git
 +    pip install git+https://github.com/m-labs/nmigen.git
 +    pip install git+https://github.com/m-labs/nmigen-boards.git
  
  ### Introduction
  
@@@ -65,6 -60,10 +63,10 @@@ Note that nMigen will **not** produce t
  
  Once your design passes verification with nMigen, you can migrate it to the nMigen syntax one module at a time. Migen modules can be added to nMigen modules and vice versa, so there is no restriction on the order of migration, either.
  
 -nMigen has a dedicated IRC channel, [#nmigen at freenode.net](https://webchat.freenode.net/?channels=nmigen). Feel free to join to ask questions about using nMigen or discuss ongoing development of nMigen and its related projects.
+ ### Community
++nMigen discussions take place on the M-Labs IRC channel, [#m-labs at freenode.net](https://webchat.freenode.net/?channels=m-labs). Feel free to join to ask questions about using nMigen or discuss ongoing development of nMigen and its related projects.
  ### License
  
  nMigen is released under the very permissive two-clause BSD license. Under the terms of this license, you are authorized to use nMigen for closed-source proprietary designs.